• 回答数

    5

  • 浏览数

    354

小树旁的小树
首页 > 期刊论文 > 有关fpga的毕业论文下载

5个回答 默认排序
  • 默认排序
  • 按时间排序

super阿狸

已采纳

1、 基于FPGA的数字通信系统 摘 要本设计实现多路数据时分复用和解复用系统。设计分为发端和收端,以FPGA作为主控核心。发端系统有三路并行数据输入:A/D转换数据,拨码开关1路和拨码开关2路。这三路数据在FPGA的控制下作为串行... 类别:毕业论文 大小:345 KB 日期:2008-05-03 2、 基于FPGA和锁相环4046实现波形发生器 摘 要本设计采用FPGA和锁相环4046实现波形发生器。系统由波形产生模块和可调频率的时钟产生模块,数模转换模块和显示模块四部分组成。波形产生模块完成三种波形的产生,并根据控制信号完成选定波形的输出... 类别:毕业论文 大小:434 KB 日期:2008-05-03 3、 UC/OSII在FPGA上的移植 摘要嵌入式系统是当今非常热门的研究领域,早期多以单片机为核心,应用领域非常广泛.但单片机系统功能比较简单,速度较慢,难以适应现代技术的快速发展.随着现场可编程逻辑阵列(FPGA)技术的日益成熟,基于片... 类别:毕业论文 大小: MB 日期:2008-05-03 4、 基于FPGA的IIR滤波器设计 摘 要:数字信号处理在科学和工程技术许多领域中得到广泛的应用,与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,故本课题采用一种基于FPGA的IIR数字滤波器的设计方案,首先... 类别:毕业论文 大小:461 KB 日期:2008-05-02 5、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 摘 要作为数字通信系统中一个重要组成部分,信道编码技术为保证通信的可靠性发挥着重要作用,广泛应用于数字通信的抗干扰和差错控制之中。 以TD-SCDMA为例子的第三代移动通信标准来说,其采用了3种信道... 类别:毕业论文 大小:359 KB 日期:2008-04-17 6、 基于ARM和FPGA的数控系统的硬件设计(硕士) 目 录第一章 绪 论 引言 研究背景及国内外发展现状 研究背景 国外发展状况 国内研究现状 本论文课题来源和研究内容 课题来... 类别:毕业论文 大小:575 KB 日期:2008-04-15 7、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 摘 要随着信息技术和计算机技术的飞速发展,数字信号处理己经逐渐发展成一门关键的技术科学。图像处理作为一种重要的现代技术,已经在通信、航空航天、遥感遥测、生物医学、军事、信息安全等领域得到广泛的应用。... 类别:毕业论文 大小: MB 日期:2008-03-23 8、 OFDM通信系统基带数据处理部分的FPGA实现 中文摘要正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种调制技术,也可以被看作是一种复用... 类别:毕业论文 大小: MB 日期:2008-03-08 9、 FPGA应用实验板设计 2007-09-24 19:58 207,360 FPGA体系的低功耗高层次综合.doc2007-09-24 19:17 1,214,976 FPGA应用实验板... 类别:毕业论文 大小: MB 日期:2007-09-25 10、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 摘 要随着无线通信技术的飞速发展,人们对于数据传输速率和服务质量(QoS)提出了更高的要求,尤其是对于那些针对短距离通信的应用来说更是如此。超宽带无线通信技术(UWB)因为其自身具有的高传输速率、低... 类别:毕业论文 大小: MB 日期:2007-09-23 11、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 摘 要 本文以CDMA数字移动通信中的差错控制问题为背景,用Altera公司的现场可编程门阵列(FPGA)器件(APEX20K200)设计实现了一种约束长度N=7的维特比(Viterbi)译码的... 类别:毕业论文 大小:534 KB 日期:2007-09-06 12、 基于FPGA温、湿度传感器系统设计 摘要 本系统采用FPGA芯片为主处理核心,从机采用FPGA芯片,负责数据检测,检测点的温度和湿度经过温、湿度传感器转换为数字信号,将数字量送入从机,再经从机的数据处理,定时通过无线方式传送给PC主... 类别:毕业论文 大小: MB 日期:2007-08-13 13、 基于FPGA的嵌入式系统开发板 摘 要本文设计完成的是基于FPGA的嵌入式系统开发板,它可以完成FPGA、嵌入式系统和SOPC等的设计和开发。开发板以Altera公司的Cyclone系列FPGA—EP1C6为核心,在其外围扩展FL... 类别:毕业论文 大小: MB 日期:2007-07-21 14、 卫星信道延时模拟器的FPGA实现 摘要:在当前通信领域中卫星通信系统已经成为现代化通信强有力的手段之一。卫星信道组网具有下面一些优点:通信范围大,只要卫星发射的波束覆盖进行的范围均可进行通信;不易受陆地灾害影响;建设速度快;易于实现广... 类别:毕业论文 大小:546 KB 日期:2007-07-02 15、 基于Altera FPGA的发动机ECU原型设计 摘要本文以直列4缸汽油发动机为背景,进行了汽车发动机传感器和控制器信号调理的尝试,采用以自顶向下为核心的现代数字系统设计方法,借助EDA开发平台和EDA实验台,完成基于Altera FPGA的发动机E... 类别:毕业论文 大小: MB 日期:2007-06-21 16、 基于FPGA设计电梯控制系统 摘 要随着科学技术的发展,近年来,我国的电梯生产技术得到了迅速发展.一些电梯厂也在不断改进设计、修改工艺。而基于现场可编程逻辑门阵列(FPGA)来实现的电梯控制系统由于运行可靠性高,使用维修方便,抗干... 类别:毕业论文 大小:766 KB 日期:2007-06-12 17、 FPGA在机卡分离式高清数字一体电视机里的应用 摘要高清数字电视(HDTV)是继黑白模拟电视,彩色模拟电视之后的第三代电视。随着数字高清电视在全球发展日夜加速,美国、欧洲和日本等国家推进数字电视的速度和力度都在不断加大,我国也在数字电视方面也加快了... 类别:毕业论文 大小:483 KB 日期:2007-03-14 18、 PSK调制算法仿真与FPGA实现 摘要 随着时代的发展,通信工程领域越来越多的应用集成芯片完成系统功能,它有着体积小,功能强大,开发周期短,便于实现等特点被业内工程师广泛认可。其中在集成芯片领域,最有突破性和创新性的就是FPGA(Fi... 类别:毕业设计 大小: MB 日期:2007-02-01 19、 基于FPGA的数字复接系统帧同步器的设计 目 录摘要Abstract1 绪论………… 数字复接技术的发展………………………………复接技术的研究现状………………………………32 数字通信及复接原理……………………………… 5... 类别:毕业设计 大小:432 KB 日期:2007-01-20

148 评论

雁归来无痕

1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去

350 评论

傻傻的双子

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

276 评论

jackor57992

可以用FPGA内嵌的MCU来完成,不过我猜你的老师应该是为了让你们做除法吧(,所以还是用counter适合些

94 评论

锦瑟无端2325

你问的也太多了吧。真是舍得用百度啊。1.频率计应该是能对输出的信号的频率进行调整。比如要求输出10kHZ的某种波。指标:频率,波形,占空比,最高电压,最低电压,振幅等。2.可以模块化,你自己参考资料去实现吧。3.依旧百度,百科里有。是基于硬件的编程,相对ASIC很灵活,能调整来实现你的功能。在视频处理,工业控制,DSP上都有很大的使用。他也作为ASIC的模型。

152 评论

相关问答

  • 有关fpga的毕业论文下载

    1、 基于FPGA的数字通信系统 摘 要本设计实现多路数据时分复用和解复用系统。设计分为发端和收端,以FPGA作为主控核心。发端系统有三路并行数据输入:A/D

    小树旁的小树 5人参与回答 2023-12-09
  • hse的毕业论文下载

    广州美术学院是二本。 广州美术学院前身是中南美术专科学校,是根据国家建设布局,于1953年组建于湖北武汉的专门美术院校,时由中南文艺学院、华南人民文学艺术学院、

    金威家具 3人参与回答 2023-12-12
  • 有关设计的论文模板免费下载

    PPT必备网站!

    暖暖滴嗳 9人参与回答 2023-12-08
  • 毕业论文下载迅雷下载

    在本校文献检索库里都有数量与质量 以你们学校财力而定自己去检索把文献检索 大三前应该学了 会吧老师不会和任何学生较之只要你不只抄一份^_^祝顺利

    陶小唬同学 4人参与回答 2023-12-06
  • 有关营销的论文模板免费下载

    去这里参考下他们的论文格式吧。希望对你有帮助

    偶是透明哒 3人参与回答 2023-12-06