• 回答数

    5

  • 浏览数

    156

晓峰1124
首页 > 期刊论文 > fpga图像处理毕业论文

5个回答 默认排序
  • 默认排序
  • 按时间排序

panrongdong

已采纳

写FPGA的实时信号处理方向吧,这是比较新的方向,也是近年来比较热的东西;就研究生论文而言,既有理论研究又有实际工程价值

310 评论

鹭鹭的宝贝妞

数字图像处理方面了解的了。

352 评论

好猫宝宝

浅论FPGA的VGA汉字显示系统设计与实现论文

在日常学习和工作中,大家都接触过论文吧,通过论文写作可以提高我们综合运用所学知识的能力。相信写论文是一个让许多人都头痛的问题,下面是我为大家整理的浅论FPGA的VGA汉字显示系统设计与实现论文,欢迎阅读,希望大家能够喜欢。

摘要:

提出一种基于Xilinx公司的Spartan一3E的FPGA显示方案,由于FPGA芯片具有可靠性高、编程灵活、体积小等优点,采用其控制 VGA接口进行汉字显示,有效地解决了通用处理器控制VGA接口显示汉字的缺点。对新方案进行理论分析和实验,结果证明该方案达到了预期效果。

关键词:

显示绘图陈列;现场可编程门陈列;VGA汉字显示

随着科技的发展,VGA汉字显示系统的应用范围越来越广泛,传统的VGA字符显示方案是通过通用处理器控制VGA接口显示字符信息的,这种显示方案是以通用处理器为核心的处理系统,整个系统体积大、可靠性不高且灵活性差,不适合便携设备的设计。而FPGA芯片具有可靠性高、编程灵活和体积小等特点,因此用FPGA技术来实现VGA汉字显示可以有效解决传统技术中的缺点。

FPGA管脚资源丰富,运行速度快,可以实现大规模的系统设计,而且由于FPGA具有可重构能力和抗干扰能力强等优点,使其越来越多地应用于工业控制领域。利用FPGA控制VGA显示汉字,可使汉字显示脱离Pc机的控制,构建体积小、功耗低的各种嵌入式系统,可应用在人机界面、地面勘测、电子设备、智能仪表和性能监测等方面。

1、VGA汉字显示

VGA的汉字显示是利用VGA的显示原理,使用正确的时序信号对VGA接口相应管脚进行控制输出RGB颜色信息来显示相应的字符信息,其中VGA显示原理及时序信号的控制必须遵循VGA的工业标准。显示处理前端中的SRAM中存储的每一位数据对应相应LCD显示屏上一个像素点的亮和灭,“1”表示亮,“0”表示灭。在显示设备上显示汉字也就是按照汉字的点阵图向显示器上输出1或0的高低电平,从而在显示器上显示出具体的汉字。

2、VGA显示控制器的FPGA实现

FPGA芯片作为中央控制器控制整个系统的处理,根据自顶向下的设计流程,按照层次化、结构化的设计方法可以将FPGA系统划分为以下几个模块:顶层模块、分频模块、VGA控制模块、存储功能模块和RAM读取控制模块。系统工作原理:系统加电FPGA芯片读人配置信息,配置完成后,FPGA进人工作状态,将要显示的汉字的字模信息初始化到单口RAM中,由系统时钟产生时序,程序根据时序信息控制VGA接口输出行、场同步及颜色信息到显示器上。

顶层模块

根据自顶向下设计方法,首先定义顶层功能块。顶层模块处于重要的位置,定义好顶层模块功能后,才能进而分析哪些是构成顶层模块必要的子模块,然后进一步对各个子模块进行分解,直到达到无法进一步分解的底层功能块。顶层模块主要负责规定各个模块之间的数据信号和控制信号的连接关系,也就是实例化各子模块,并且接收RAM读取控制模块传来的汉字字模信息数据流,根据数据流信息中比特位为1的位赋予红色,为0的位赋予蓝色,即用红色表示汉字,用蓝色来填充背景。

分频模块

时序的驱动是设计VGA显示的控制需要注意的一个重要问题,这也是实验是否成功的关键设计。时序不正确,必定不能正常显示,有时甚至会损坏显示设备。因此,对于时序的设计我们必须遵循VGA的工业标准,在设计中使用的分辨率为640×480,根据VGA的工业标准,其像素的刷新率为25MHz。

而实验采用的实验板提供的时钟频率为50MHz,因此必须将系统进行分频设计,即进行二分频的设计。50MHz的时钟频率经过分频后得到实验所需的25MHz频率,此频率将作为顶层控制模块,VGA控制模块和RAM读取控制模块的系统时钟。

VGA控制模块

VGA信号的电平驱动是设计VGA显示的控制需要注意的另一个重要问题,这是正确显示文字图像的重要设计,如果设计不当,那么在显示器上就不能正确显示文字图像。这个模块主要是根据VGA的工业标准进行设计的,用verilog语言将工业标准用程序表示出来。

VGA时序信号产生包括行点计数器x—cnt(计数个数用 表示)、场点计数器y—cnt(计数个数用rt 表示)、行同步信号hsync、场同步信号vsync、有效显示区Visible area等。其中行点计数器是800进制计数器,场点计数器是525进制计数器。根据VGA时序的工业标准行、场同步信号有4种状态:同步脉冲信号 (Sync),显示后沿信号(Back Porch),可视显示区(Visible area),显示前沿(Front Porch)。

这4种状态具有很清晰的时序规律,可以用有限状态机来实现这4种状态的转换,用h—state来表示行同步状态机的4种状态:h—sync,h—back,h—visible,h—front;v—state来表示场同步状态机的4种状态:v—sync,v—back,v— visible,v— front。行、场计数器的值决定了状态机在何时进行状态翻转。

行状态机复位时,进入行同步状态h—sync,此时行同步信号输出低电平;当行计数器的计数值达到96时,状态机翻转进人行消隐后沿h—back状态,此时仍为消隐阶段;当行计数器的计数值达到144时,状态机翻转进入h—visible状态,它对应每行的有效显示区域,共包含640点,在此区域以外的任何部分都不被显示;当行计数器的计数值达到784时,状态机进入行消隐前沿h—front状态,此时处于消隐阶段。当行计数器计数值达到799时,行状态机进入h—sync状态,同时行计数器的复位信号为高电平,计数器复位。

与行有限状态机状态转移类似,需要注意的是行扫描是从左到右地扫描显示,而场扫描是自上而下地扫描显示,显示区域中行与场确定的一个坐标位置为一个像素点,并且只有在行、场状态都为有效可视状态(h—visibl~=1且v—visible=1)时,即行有效显示区域和场有效显示区域的逻辑与值为1时,才能在显示设备上显示。行、场消隐信号的逻辑与为复合消隐信号,处于复合消隐阶段的信号不能在显示设备上显示。

存储功能模块

存储功能模块的主要功能是存储文字信息,其存储媒介为FPGA内部的硬核块RAM,块RAM是以硬核的方式内嵌到FPGA芯片中的,不占用芯片的逻辑资源,是FPGA芯片内部的一种宝贵资源。FPGA内嵌的块RAM组件可配置为单口RAM、双口RAM、分布式ROM、块ROM、内容地址存储器CAM和先进先出存储器FIFO等存储结构 J。

本文中所做实验只进行文字显示,所以可以使用单口RAM存储文字信息,如果想实现更复杂的设计如:文字的滚动显示、图片的动画显示等可以使用双口RA M进行设计。实验使用开发软件XinlinxISE中的IP核生成工具CORE Generator生成实验用的单口RAM,并将其要显示的文字信息初始化到RAM中。实验设置显示7个汉字,每个汉字为16×16点阵,所以RAM的数据深度为l6,数据宽度为112。在工程项目里利用IP核生成工具生成单口RAM,在生成过程中把RAM的数据深度、宽度设置正确,并把事先做好的.coe文件即汉字的字模信息初始化到RAM中。

RA M读取控制模块

RAM读取控制模块是VGA显示设备和存储数据信息的RA M之间通道,为了使VGA显示设备能够准确的显示文字图像信息,必须严格遵循显示设备的扫描规律,产生相应的显示信息。块RAM中的存储地址是由0到16 组成的一维的'连续地址空间,实验显示的是由7个汉字组成的16×16的点阵,如果把这7个汉字看成一个整体,那么这个整体将占用112×16个像素点。本模块包括以下3部分内容:取出汉字字模信息,行、场计数器和坐标定位设计。

显示汉字必须首先把汉字的字模信息提取出来,可以利用一个深度为16的寄存器将RAM中的汉字字模信息暂存,待字符位置定位后再从寄存器中取出相应显示信息产生汉字字模信息的数据流;用行列计数器确定坐标点,并用坐标的位置来确定文字显示位置,其实现与VGA时序控制中的行、场计数器一致;汉字显示区域实验从屏幕的坐标点(400,240)到坐标点(512,256)区域内显示字符。

当坐标计数器刷新到坐标点(400,240)时就要相应地取寄存器地址中的第1个数据作为产生汉字的第1行点阵信息的数据流,直到坐标点 (512,240)时结束第1行的显示,当坐标计数器刷新到坐标点(400,241)时就要取出寄存器中显示汉字的第2行点阵信息,直到坐标点 (512,241)时结束第2行的显示,同理直到到达坐标点(512,256)时结束字符显示。本模块产生的字符数据流将交由顶层模块来控制其显示颜色。

3、系统实验

实验环境开发工具:Xilinx ISE ;开发语言:Verilog;仿真工具:Xilinx ISE Simulator;实验开发板:Xinlinx公司的Spartan一3E。

仿真结果

利用ISE内部的仿真软件对系统进行仿真。由仿真可以看出行、场同步(hsync、vsync)信号都有效(值为1),且行、场都处于可视区域内(水平有效显示区宽度与垂直有效显示区宽度逻辑与的区域为可视区域 ),输出使能信号vailid值为1,此时可以输出汉字信息,可以看出,当输出条件满足时,从SRAM中获取汉字信息,并将其输出,当有汉字输出时 vga—r值为1,即输出汉字颜色为红色,无汉字输出时为背景色蓝色。

实验结果

对实验进行调试,将编程数据下载到FPGA芯片中,再由显示器将汉字输出。本实验只做了一句话的实验测试,如果要实现更多字符显示,可以将更多字符信息存储到RAM字符信息库中,调取RAM中的信息进行显示即可。

4、结束语

用FPGA来控制VGA显示,可以克服使用通用处理器设计系统所带来的不便和缺点。使用块RAM存储汉字信息,不占用芯片的逻辑资源,不仅能保证较高的工作频率,而且还具有很低的动态功耗。实验实现了基于FPGA的汉字显示,方便了汉字信息的写入及内容的修改,可使汉字的显示脱离 Pc机控制,减少控制器的体积,对于小型嵌入式系统及各种便携式设备实现汉字显示具有重要的现实意义和工程实用价值。

拓展:

论文格式与要求

一般而言,非211、985学校的本科毕业论文字数在6000-8000左右(工程类需要制图的专业则会超过这个数字),而一些要求较高或者重点学校则要求论文字数在1万左右或以上,总之各个学校在论文字数上的规定都有细微的差异。

一、本科生毕业论文主要内容

1、题目 (宋体,小二,居中)

2、中文摘要(200字以上),关键词;字体:宋体、小四号,字符间距:标准;行距:20磅

3、英文摘要,关键词;

4、目录

5、正文;字体:宋体、小四号,字符间距:标准;行距:20磅

6、参考文献。期刊内容包括:作者 题名,刊名,年,卷(期):起始页码-结束页码。著作内容包括:作者、编者,文献题名,出版社,出版年份,起止页码。

7、附件:开题报告和检查情况记录表

二、格式要求

1、书写格式要求:填写项目必须用碳素或蓝黑墨水钢笔书写;

2、文稿要求:文字通顺,语言流畅,版面整洁,便于装订。Word文稿A4纸打印。

3、图纸要求:图面整洁,布局合理,线条粗细均匀,圆弧连接光滑,尺寸标准规范,文字注释必须使用工程字书写;

4、曲线图表要求:所有曲线、图表、线路图、流程图、程序框图、示意图等不得简单徒手画,须按国家规范标准或工程要求绘制;

5、公式要求:所有公式不得徒手书写,利用Microsoft公式编辑器或Mathtype编辑。

三、毕业论文份量要求:

毕业论文字数一般不少于万字或相当信息量。外文文献阅读量的具体要求,由指导教师量化。

四、 毕业论文规范审查工作由指导教师具体负责,从毕业论文质、量、形式等规范方面对论文答辩资格进行审查。审查合格者方能参加答辩。凡质、量、形式等方面审查不合格者,应责令其返工,直到达到要求为止,否则不准参加毕业答辩。对于在校外进行毕业论文的学生,其论文答辩资格审查回校进行。

五、毕业论文档案应包括以下内容:

1、大学毕业论文(设计)封面(教务处统一印制);

2、毕业论文,包括题目及目录、开题报告、内容提要、正文及相关图表、参考文献及其他附件等;

3、指导教师、答辩委员会评阅意见、成绩评定表;

4、其他附件;

免责声明:本站文章信息来源于网络转载是出于传递更多信息之目的,并不意味着赞同其观点或证实其内容的真实性。不保证信息的合理性、准确性和完整性,且不对因信息的不合理、不准确或遗漏导致的任何损失或损害承担责任。本网站所有信息仅供参考,不做交易和服务的根据,如自行使用本网资料发生偏差,本站概不负责,亦不负任何法律责任,并保证最终解释权。

250 评论

小蓉~蓉

有关IP核的硬化 也可以考虑一下

109 评论

happyzhang123

1、 基于FPGA的数字通信系统 摘 要本设计实现多路数据时分复用和解复用系统。设计分为发端和收端,以FPGA作为主控核心。发端系统有三路并行数据输入:A/D转换数据,拨码开关1路和拨码开关2路。这三路数据在FPGA的控制下作为串行... 类别:毕业论文 大小:345 KB 日期:2008-05-03 2、 基于FPGA和锁相环4046实现波形发生器 摘 要本设计采用FPGA和锁相环4046实现波形发生器。系统由波形产生模块和可调频率的时钟产生模块,数模转换模块和显示模块四部分组成。波形产生模块完成三种波形的产生,并根据控制信号完成选定波形的输出... 类别:毕业论文 大小:434 KB 日期:2008-05-03 3、 UC/OSII在FPGA上的移植 摘要嵌入式系统是当今非常热门的研究领域,早期多以单片机为核心,应用领域非常广泛.但单片机系统功能比较简单,速度较慢,难以适应现代技术的快速发展.随着现场可编程逻辑阵列(FPGA)技术的日益成熟,基于片... 类别:毕业论文 大小: MB 日期:2008-05-03 4、 基于FPGA的IIR滤波器设计 摘 要:数字信号处理在科学和工程技术许多领域中得到广泛的应用,与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,故本课题采用一种基于FPGA的IIR数字滤波器的设计方案,首先... 类别:毕业论文 大小:461 KB 日期:2008-05-02 5、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 摘 要作为数字通信系统中一个重要组成部分,信道编码技术为保证通信的可靠性发挥着重要作用,广泛应用于数字通信的抗干扰和差错控制之中。 以TD-SCDMA为例子的第三代移动通信标准来说,其采用了3种信道... 类别:毕业论文 大小:359 KB 日期:2008-04-17 6、 基于ARM和FPGA的数控系统的硬件设计(硕士) 目 录第一章 绪 论 引言 研究背景及国内外发展现状 研究背景 国外发展状况 国内研究现状 本论文课题来源和研究内容 课题来... 类别:毕业论文 大小:575 KB 日期:2008-04-15 7、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 摘 要随着信息技术和计算机技术的飞速发展,数字信号处理己经逐渐发展成一门关键的技术科学。图像处理作为一种重要的现代技术,已经在通信、航空航天、遥感遥测、生物医学、军事、信息安全等领域得到广泛的应用。... 类别:毕业论文 大小: MB 日期:2008-03-23 8、 OFDM通信系统基带数据处理部分的FPGA实现 中文摘要正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种调制技术,也可以被看作是一种复用... 类别:毕业论文 大小: MB 日期:2008-03-08 9、 FPGA应用实验板设计 2007-09-24 19:58 207,360 FPGA体系的低功耗高层次综合.doc2007-09-24 19:17 1,214,976 FPGA应用实验板... 类别:毕业论文 大小: MB 日期:2007-09-25 10、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 摘 要随着无线通信技术的飞速发展,人们对于数据传输速率和服务质量(QoS)提出了更高的要求,尤其是对于那些针对短距离通信的应用来说更是如此。超宽带无线通信技术(UWB)因为其自身具有的高传输速率、低... 类别:毕业论文 大小: MB 日期:2007-09-23 11、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 摘 要 本文以CDMA数字移动通信中的差错控制问题为背景,用Altera公司的现场可编程门阵列(FPGA)器件(APEX20K200)设计实现了一种约束长度N=7的维特比(Viterbi)译码的... 类别:毕业论文 大小:534 KB 日期:2007-09-06 12、 基于FPGA温、湿度传感器系统设计 摘要 本系统采用FPGA芯片为主处理核心,从机采用FPGA芯片,负责数据检测,检测点的温度和湿度经过温、湿度传感器转换为数字信号,将数字量送入从机,再经从机的数据处理,定时通过无线方式传送给PC主... 类别:毕业论文 大小: MB 日期:2007-08-13 13、 基于FPGA的嵌入式系统开发板 摘 要本文设计完成的是基于FPGA的嵌入式系统开发板,它可以完成FPGA、嵌入式系统和SOPC等的设计和开发。开发板以Altera公司的Cyclone系列FPGA—EP1C6为核心,在其外围扩展FL... 类别:毕业论文 大小: MB 日期:2007-07-21 14、 卫星信道延时模拟器的FPGA实现 摘要:在当前通信领域中卫星通信系统已经成为现代化通信强有力的手段之一。卫星信道组网具有下面一些优点:通信范围大,只要卫星发射的波束覆盖进行的范围均可进行通信;不易受陆地灾害影响;建设速度快;易于实现广... 类别:毕业论文 大小:546 KB 日期:2007-07-02 15、 基于Altera FPGA的发动机ECU原型设计 摘要本文以直列4缸汽油发动机为背景,进行了汽车发动机传感器和控制器信号调理的尝试,采用以自顶向下为核心的现代数字系统设计方法,借助EDA开发平台和EDA实验台,完成基于Altera FPGA的发动机E... 类别:毕业论文 大小: MB 日期:2007-06-21 16、 基于FPGA设计电梯控制系统 摘 要随着科学技术的发展,近年来,我国的电梯生产技术得到了迅速发展.一些电梯厂也在不断改进设计、修改工艺。而基于现场可编程逻辑门阵列(FPGA)来实现的电梯控制系统由于运行可靠性高,使用维修方便,抗干... 类别:毕业论文 大小:766 KB 日期:2007-06-12 17、 FPGA在机卡分离式高清数字一体电视机里的应用 摘要高清数字电视(HDTV)是继黑白模拟电视,彩色模拟电视之后的第三代电视。随着数字高清电视在全球发展日夜加速,美国、欧洲和日本等国家推进数字电视的速度和力度都在不断加大,我国也在数字电视方面也加快了... 类别:毕业论文 大小:483 KB 日期:2007-03-14 18、 PSK调制算法仿真与FPGA实现 摘要 随着时代的发展,通信工程领域越来越多的应用集成芯片完成系统功能,它有着体积小,功能强大,开发周期短,便于实现等特点被业内工程师广泛认可。其中在集成芯片领域,最有突破性和创新性的就是FPGA(Fi... 类别:毕业设计 大小: MB 日期:2007-02-01 19、 基于FPGA的数字复接系统帧同步器的设计 目 录摘要Abstract1 绪论………… 数字复接技术的发展………………………………复接技术的研究现状………………………………32 数字通信及复接原理……………………………… 5... 类别:毕业设计 大小:432 KB 日期:2007-01-20

153 评论

相关问答

  • 图像处理腐蚀毕业论文

    数字图像处理是利用计算机对图像信息进行加工以满足人的视觉心理或者应用需求的行为,应用广泛,多用于测绘学、大气科学、天文学、美图、使图像提高辨识等。这里学术堂为大

    会舞蹈的兔子 4人参与回答 2023-12-06
  • 硕士图像处理毕业论文

    论文不重要,关键是跟老师做过什么项目,招聘看项目,想读博另说。。图像识别,神经网络,小波变换,支持向量基很多算法。用MATLAB很容易的。不过,大多数工作都与图

    大旺旺。 4人参与回答 2023-12-11
  • 图像处理方面的毕业论文

    数字图像处理OK,帮你处理。

    色恋粉雪 5人参与回答 2023-12-07
  • 图像处理图像复原毕业论文题目

    你的论文准备往什么方向写,选题老师审核通过了没,有没有列个大纲让老师看一下写作方向?老师有没有和你说论文往哪个方向写比较好?写论文之前,一定要写个大纲,这样老师

    拎拎同学 6人参与回答 2023-12-06
  • 图像处理软件毕业论文

    数字图像处理OK,帮你处理。

    小遥CITY 5人参与回答 2023-12-10