首页 > 论文发表知识库 > 汽车后视镜控制设计毕业论文

汽车后视镜控制设计毕业论文

发布时间:

汽车后视镜控制设计毕业论文

轻型电动车设计方案摘要随着科学技术的进步,交通工具越来越发达。但是它给人们带来便捷的同时,作为其动力的石油资源开始枯竭。人们意识到环境污染的严重性,已开始实施环保政策,治理大气污染,并积极探索新型无污染能源,因此,电动车越来越被人们所关注。结合电动车的历史与现状,本文全面分析了电动车国内外发展趋势。经过比较分析,充分考虑到影响轻型电动车性能的各种因素,设计出自己的轻型电动车整车结构,并完成驱动、制动控制系统的设计以及充电电池、电动机等主要部件的设计,形成控制器的初步设计方案。关键词:轻型 电动车 巡逻车 设计AbstractWith the progress of science and technology, the means of transportation is more and more developed. But it brings for people convenient at the same time, be its dynamical petroleum resources to start to be dried up. People realize the severity of the environmental pollution, have already begun to implement the environmental protection policy, manage the air pollution, and explore the new-type pollution-free energy actively. So the electronic bicycle is being paid close attention to by people. Combine the historical current situation of the electronic bicycle, this paper has analysed the domestic and international development trend of electronic bicycle in an all-round way. Through comparative analysis , I fully consider that influences various kinds of factors of electronic climbing bicycle performance , design my own electronic climbing bicycle completed car structure , and complete to drive, apply the brake design , rechargeable battery and motor of control system main selecting type of part to finish, form the preliminary design plan of the controllerKeyword:Electronic bicycle Dsign目录第一章 绪论 引言 国内外电动车的发展及现状 我国电动车产业的现状: 国外电动车的发展及现状 发展电动车的必要性和有利条件 设计任务 8第二章 电动自行车关键技术概况 电动自行车电机 电动自行车电池 镉镍电池 氢镍电池 铁镍电池 铅酸电池 电池选用情况 车架 电动自行车的控制器 控制器的介绍 智能控制器的实现方案 电机调速方案 电机及控制器保护方案 蓄电池欠压保护方案 刹车控制方案 系统程序流程 控制器结构原理图 17第三章 整车方案选择 车架造型的选择与创新 有限元素法 蓄电池安装设计 其他另部件选择对整车影响 总体设计方案的选择 21第四章 动力系统设计 阻力分析 滚动阻力F 空气阻力R 坡度阻力f 加速阻力j 电机的选择 蓄电池规格选择 29第五章 整车设计及性能分析 整车设计 车架强度的校核 电动车是否会翻倾的校核 电动车上下坡翻倾校核 侧倾校核 制动翻倾性和加速翻倾性校核 减震弹簧的设计 骑行速度校核 转向系统校核 34第六章 总结与展望 总结 展望 35致谢 36参考文献 37第一章 绪论引言中国是一个名副其实的自行车大国,自行车与老百姓的关系十分地密切,可以这样说,只要有路的地方,总能见到骑自行车的人。虽然中国人均自行车占有量高居世界首位,但是,每年仍维持着3200万辆的产量,而市场需求也达到了2900万辆。如此巨大的市场对任何一种自行车相关联的产品都是极具吸引力的。随着社会的发展,人民生活水平的提高,民众对交通工具的需求也在提高。目就,很多大中城市对摩托车上路实施严格控制,为弥补公共交通能力上的不足,一种单缸二冲程燃油型助力车大量面市。它的出现的确给城市居民的生活和工作带来了方便,但这种燃油型助力车也给城市的空气带来严重的污染。不仅如此,几十万个小汽油桶存放在千家万户内,消防工作也十分繁重。80年代曾一度兴起的电动车开发与研究,大有一统天下之势。当时电动车存在的问题很多:电机效率低、电池寿命短、电池比能量不高,很快地便冷落下来。其后,每当有新技术出现时,便会立即在电动车上使用(包括电动汽车领域)。电动车的发展经历了三起两落,人们始终没有放弃对它的研究。随着科学技术的发展,90年代中后期,电机制作技术有了突破性进展,传动和控制系统更加轻便可靠,蕾电池技术也取得了长足的进步,所有这些为电动自行车的第三次腾飞打下了坚实的基础。由于电动自行车具有无废气污染、无嗓音、轻便美观等特点,特别适合在人口较集中的大中城市中使用,故受到欧美、日本等西方国家青睐。在欧洲,电动自行车是供人们休闲使用;在日本,主要是妇女和儿童骑行。他们对电动自行车的要求相对较低。例如:电池一次全充电,续驶里程在20kM以上,时速在20hm/h以下即可。为达到这些要求,蓄电池很容易满足其要求。在中国,自行车是作为代步工具使用的。在一些大城市,职工上下班来回行走几十公里,对于一次充电仅能行驶20km的电动自行车显然不能满足要求,为保证行驶里程长,就要加大电池容量,电池容量增加,电池体积、重量、价格等都要增加。另外还有一个如何正确驾驶电动自行车的问题。这要从两个方面来看,首先它不同于自行车,因为它不是单纯依靠人力使车辆行驶;其次它不同于全电动车,当其电池没有电的时候,可以借助人力继续行驶。由此可见,电动自行车对骑行者的素质要求较高。电动自行车生产厂家,应指导用户正确使用电动自行车。国内外电动自行车的发展及现状我国电动自行车产业的现状:一、 据不完全统计,目前我国电动自行车生产企业有464家,分布在15省、市。去年中自协联同各省市协会对226家企业统计,电动自行车产量达399.72万辆。根据统计分析有以下几个特点:(一)、规模扩大,产量增长,但形成经济规模的企业依然很少2004年我国电动自行车总产量增长幅度大,但是以众多厂家的增加而增长,不是靠规模厂家的增多而增长。真正形成较大规模的企业不多,很难压制众多小企业盲目加入,年产100辆、1000辆的企业依然存在。由于小企业数量越多,所以统一规范的难度也越大。所以希望有规模的企业在保证产品质量的前提下更要加快发展,这对企业和行业都有好处,提高竞争力,提高市场占有率,可以压制一些小企业的盲目加入。(二)、自行车企业生产电动自行车的依然不多,优势并不明显。虽然电动自行车是自行车的延伸产品,但从目前看自行车企业生产电动自行车优势并不明显。中自协226家电动自行车生产企业中原生产自行车的企业仅59家,占25%,总产量110万辆,占27.5%,其中年产1万辆的企业31家,占33%,由此表明优势不明显,还没有在电动自行车产业中形成主导地位。(三)、产品质量参差不齐,质量状况不容乐观。去年399.72万辆电动自行车中真正严格按国标GB1761(1999)《电动自行车安全通用技术标准》生产的比例不高,约占50%,从上海、天津、江苏、浙江的自行车展览会上展出的样车看,达标的品种并不多。从市场价格看,1500元/辆的在增多,有的甚至价格在1000元/辆以下。这些价格的下降不是通过管理的提高,生产规模的扩大,从而成本下降,而是不少企业通过配置质量低的零部件或低价原材料、简陋的生产来降成本,实现利润,所以质量较差。从近期的产品抽样结果可以证明,2004年国家自行车监测中心对40个企业产品抽检,合格率仅75%,不合格的25%对消费者来说就是100%;近期上海检测58个企业,合格率仅63.8%;徐州抽检的不合格率达50%;还有山东胶东地区抽查15个批次,合格率仅33%。这些数据应当引起我们企业的高度重视。这些不合格产品都有三个共同问题,一是产品超重、超速。二是踏板式车型多,脚踏离地高度不够,转弯时,脚踏触地。三是车架、前叉组合强度不够,导致严重断裂,个别产品甚至制动性能都不达标,都给产品使用带来安全隐患。所以近年来电动自行车的用户投诉量不断增长。产品出口也存在质量问题,导致退货。中自协为此已搞了一个“三包”规定,并报国家技术监督局。他们也十分重视,已提到议事日程,计划搞一个全国性的电动自行车产品“三包”规定。我们企业一定要把精力放到提高质量上来。当然我国电动自行车的总体质量水平在提高,我只是把问题提得重一些,希望引起企业重视。只有抓好产品质量的一致性、稳定性,才能真正促进行业的健康高效的发展。二、2005年我国电动自行车的发展趋势2004年的产量标志我国电动自行车已进入了发展阶段。展望2005年电动自行车在刺激需求和制约需求的矛盾中仍将有新的发展。拉动需求的因素是什么,有以下几点。(1)去年我国人均GDP已达到1000美元,预示社会需求将有一个升级,收入增高,需求势头自然高。有相当部分居民把电动自行车作为代步工具作为首选。今年3月份有关部门调查全国有63%的人收入稳定增加,而且有71%的群体认为现在是购买耐用消费品的最好时期。电动自行车自然也会受到影响,使一部分人由意向转为购买行动。(2)《道路交通安全法》将于5月1日正式实施,电动自行车可以以非机动车身份名正言顺地合法上路。无疑使一部分人把目光投向电动自行车。各地有关政府部门也有法可依,将放开对电动自行车的上路限制。国家技术监督局近期也将出台新的标准。所以企业的产品一定要符合标准,乘势而上。(3)中自协统计,到2004年全行业累计产销量达700万辆。仅去年一年就增加了400万辆,这表明使用电动自行车的人越来越多,城市拥有量迅速扩大,这无疑是一个很好的产品流动大广告,必将吸引市民的眼球,成为刺激购买欲的因素。(4)2002年8月北京市通告禁止电动自行车上牌上路,当时北京出台禁令后不久,太原、桂林、福州、温州等城市也出台了电动自行车上路禁令,这可能是巧合,但也不排除受北京市的影响。今年北京将允许电动自行车上路,这无疑带来正面影响,成为电动自行车需求扩大的有利因素。当然,我们还必须看到电动车产业发展中的负面因素。(1)今年国内的电力供应仍然紧张,钢材、塑料、橡胶原材料价格还将上涨。无疑要制约行业的发展。据有关部门预计,今年我国电力缺口达2000万千瓦以上,华东、华南、华北是缺口严重地区,而我国电动自行车主要产区正是这些地区。今年1-2月份钢材平均上涨39.7%,而且权威部门预示,钢材高价位还将持续4年以上。橡胶平均上涨11%。从全国其它强势行业看,去年我国汽车增长44.7%,拖拉机增长51%,机床增长54.3%,发电机增长148.2%,冶炼设备增长66.6%,这些强势行业的用材必将挤占电动自行车行业的用材,这些都将成为制约行业发展的外部因素。(2)从内部因素看,生产企业不规范行为也将制约发展。目前行业内有许多企业的产品未能达标。说明行业内一些企业的法制观念淡薄。市场经济是法制经济。如果道路上行驶的不达标电动自行车越来越多,非机动车和机动车混在一起,必将要引起交管部门的重视,规范的力度必然加大,到一定程度不达标电动车将受到限制,对行业来说是自毁前程。如按现状发展下去,我想断送电动自行车行业的时间不会很长,所以业内企业一定要高度重视这个问题,自觉遵纪守法,共同促进行业的健康发展。三、要把握落实科学发展观,促进电动自行车的发展中央十六大提出了科学发展观的理念。胡锦涛总书记在一次人和自然会议上也提出要树立落实科学发展观。我们对此要把握好二点:一是必须着力提高经济增长的质量、效益,努力实现速度、效益的统一,使经济保持可持续发展。二是要坚持理论与实践相结合,因地制宜,因时制宜,贯彻到各个方面。电动自行车行业如何落实呢?我认为①要努力提高电动自行车的产品质量,延长产品使用期;②提高管理水平、降低能源消耗、材料消耗,就目前我们行业的管理水平还是粗放型的,表现为耗能高、耗材高、效率低、效益低。国家统计局统计2003年我国国内生产总值达116694亿元,增长速度不小,但分析,我们消耗了26000万吨钢材,占世界的25%,8.2亿吨水泥,占世界的50%和2.5亿吨石油,换来了占世界总GDP的4%。所以我们的企业家要有社会责任感,电动自行车行业也如此。1)要通过科学、严格的管理提高质量,降低消耗,节约资源,努力达到可持续发展的目标。2)要开拓创新,电动自行车行业更要紧跟时代步伐,大力运用新技术、新材料、新工艺,延长产品使用周期,减少消耗,替换紧缺原材料等,牢固根据科学发展观,推动电动自行车产业的新发展。国外电动自行车的发展及现状日本是电动自行车商品化较早的国家之一。1994年4月雅马哈公司首先推出商品名为“PAS”的电动自行车。“PAS”Power Assistant System 的缩写。本田公司则于1995年2月亮出名为“RACOON”的电动自行车,据说在性能、结构上比雅马哈的略胜一筹。雅马哈、本田两家公司在争夺电动自行车市场的拼杀上已开始就进了白热化状态。三洋、松下、小松等公司不甘落后,纷纷亮出品牌打出广告,意欲抢占市场。据不完全统计,1994年雅马哈公司一统市场,生产销售了电动山地车3万辆;1995年本田和雅马哈展开拼搏,两家公司生产销售电动自行车合计达9万多辆,两方平分秋色;1996年更多的公司加入竞争行列,实现销售超过了20万辆,其中雅马哈公司销售了7万辆,本田公司销售了6万辆;近几年的销售又有了新的突破。至此,据统计日本电动自行车生产厂家从五年前的仅有一家发展到了十三家,年生产能力突破了40万辆。欧美等西方国家生产销售电动自行车也较早,如:德国MSG公司,Diamant公司,Hercules公司等很早就推出了“Cityblitz”,“Electry”等等品牌的电动自行车;英国的Aschbach公司,奥地利的Velectro公司,意大利的Montuori公司都有电动自行车问世。而美国的Charger 公司,ZAP公司,也都出品了电动自行车。不过欧美更注重开发电动汽车,相比之下电动自行车显得无足轻重了。从最近第五十七届米兰国际两轮车展览会上得到的消息,尽管目前电动自行车的发展还存在着诸多的制约因素,但对其发展前景还是普遍看好,许多企业在电动自行车辆的研究开发中倾注了较大的力量。在这次展览会上,一些国际知名公司像奔驰、雅马哈、比亚乔等都展示出了他们的各种新型电动自行车。从这次参展的国外部分品牌的电动自行车来看,目前国外电动自行车正朝着驱动无刷化、功能多样化、控制智能化方向发展。详见表1-1。公司 品牌 主要性能参数 主要特点德国奔驰 HybirdBike 重量;电机输出功率:220W;最大时速25Km/h;一次充电续行距离:20Km 车轮:26英寸;24V5Ah镍镉电池;无刷轮毂式电机驱动;脚踏来电;皮带传动加内齿圈增速飞轮。意大利比亚乔 Albatros重量28Kg;电机输出功率:250W;最大时速25Km/h;一次充电续行距离:30Km 车轮:26英寸;36V5Ah镍镉电池;“U”字形车架;外转子无刷直流电机前轮驱动;后轮配有内变速齿轮。日本雅马哈 PAS XPC26 重量;电机输出功率:235W;最大时速24Km/h;一次充电续行距离:40Km 车轮:26英寸;24V5Ah镍镉电池;典型的中轴驱动型电动自行车。美国PMI ContinentDove 重量32Kg;电机输出功率:180W;最大时速20Km/h;一次充电续行距离:30Km 车轮:24英寸;24V12Ah镍镉电池;后轮轮毂式电机驱动,造型流畅。国内大陆鸽、安琪儿均按此车款仿型设计。表1-1国外部分电动自行车性能在美国,电动自行车将可能拥有一个重要的市场,但是必须进行适当的宣传和推销工作。2002年,美国开始更改电动自行车的立法,电动自行车的市场地位有所变化。新的法规规定:电动自行车需具有脚蹬功能,电动机功率<750w,最高行驶速度<32km/h,可以像自行车那样骑行。美国连邦法[自行车]之定义即包含了以上这类电动自行车。该立法对于电动自行车来说是好消息,车辆可以允许有帽盔、后视镜等配置,并能在自行车道上行驶。按上述条例,电动自行车由有关的消费制品安全委员会 (Consumer Product Safety Commi sion——CPSC)管理。生产电动自行车的各企业的规章制度均应合乎此法规要求。将来电动自行车新法规,可能停止或取消二轮车的骑行规定中对低龄人群的骑行许可。很多州规定年龄在16岁以上才能取得骑行许可,也有些州规定是18岁。此法规将作为美国的电动自行车的使用规则,但很多消费者和商人对之尚未适应。美国市场现有的电动自行车不少是根据日本和欧洲的模式设计的,美国对于电动自行车功率末满的问题并未拒绝。然而,美国的有些电动自行车制造厂己对新的法规优势进行研究。目前,美国市场销售的电动自行车,很多是EvGlobal、Giant、Merida和松下Panasonic的产品。新加入销售行列的有Electric Vehicle Technolo—gies(EVT)、 The Electric cycl e Company(TECC)、wave Crest Laboratories 3家公司。EVT和TECC已按新法规进行产品生产。采用高技术电动机的wave Creast Laboratory则用美国军用特殊待制品中心的有利条件生产军用电动自行车。ETC、CurrieTechnologies和Zap目前生产的电动自行车主要是轻量电动踏板车。由于美国经济受伊拉克战事、恐怖活动、失业率等种种因素的影响,消费需求也随之减弱。 美国对电动自行车的认识现状是:电动自行车尚未被认作文通工具。目前,很多购买者将之当作一种休闲娱乐器具。此外,对于市场的细致分析也没有进行。实际情况是还有不少美国人对电动自行车的存在及其功能尚未注意,或者是还不了解。因而要开拓美国电动自行车市场首先要加强宣传和广为介绍电动自行车的功能及其特性和优点。在商品广告宣传方面美国是比较强的,美国有很多电视和杂志广告,还有有线电视、CS广播和互联网发布的新产品信息,商业信息量较大,例如对商品的使用方法、功效、功能等等都有详细的宣传和说明。但是,电动自行车行业还未很好地利用此种具有优势的广告手段,而是赖于当地的传媒、邮件及行业的宣传资料联络商人。在美国,电动自行车要打开市场,应当在适当的媒体上做适量的广告,互联网就是一个很好的选择。很多商品信息通过互联网与销售商进行勾通,并解决消费者对该商品的咨询等问题。关于美国电动自行车的实际销售量,由于尚无相关机构,因此很难统计出来。美国市场的很多电动自行车是从国外进口,据中国台湾有关媒体报道,2002年,台湾省向美国出口电动自行车3.7万辆,在中国大陆洁lJ造的Pauasent、Aprillia、Pri ma等外国品牌的电动自行车输入美国的数量不多。中国大陆制造的电动自行车很多是面向中国市场而设计的。而面向美国出口的产品,对于产品的技术条件(规格、性能等)要有若干变更,例如车子的载重量、功率、速度等,更重要的是要具有较高品质、竞争力强的产品,使美国市场乐意接受。电动踏板车、轻型电动踏板车则在美国市场呈继续上升趋势,它们处于电动二轮车的重要位置。Cycle Electric公司200l—2002年间共销售电动踏板车约27万辆。还有一些小型公司,如Currie、Bleady、EV Rider制造立乘式踏板车。中国大陆向美国出口的电动踏板车也较多。坐骑式踏板车作为短距离交通工具,在美国市场扩展很快。据称,中国有100家以上制造踏板车的工厂向美国出口产品。美国电动踏板车市场的进口供应厂商有中国台湾的JD Components和HCF两家公司,HCF的Po—lari s和Merctery型号在市场处于领先地位,川Components主要提供坐骑型踏板车。美国的电动自行车市场销售渠道尚未理顺,商品目录制作公司、联网销售店、自行车专业商店、批发商店、高尔大二轮车零售商、礼品商店、自由市场以至户外市场等等均可自由销售电动自行车(含电动踏板车)。目前,很多公司在研究、探索并确立较完善、较有秩序的电动自行车销售渠道。发展电动自行车的必要性和有利条件电动自行车在我国的发展虽然经历了数十年,历经曲折,但它能够在九十年代重新崛起,应该说有其客观的必要性和有了条件。

汽车电子控制技术可以写电路原理、控制系统设计等等。开始也不咋会,还是学姐给的文方网,写的《基于模型驱动的汽车电子软件开发方法研究》,十分顺利就过了汽车电子行业技术创新模式与企业策略研究浅谈我国汽车电子产业现状及发展建议基于Internet的汽车电子远程诊断技术研究面向汽车电子的嵌入式软件开发应用软件的研究与分析我国汽车电子产业投资价值研究汽车电子机械制动系统CAN总线通信研究面向汽车电子领域的嵌入式软件可靠技术的研究与开发汽车电子产品的开发汽车电子测试平台CAN总线通信实时性与可靠性研究参照AUTOSAR标准的汽车电子通信与应用基于模型的汽车电子软件综合方法研究基于专利分析的吉林省汽车电子产业技术预测研究现代汽车电子技术的应用现状及发展趋势面向汽车电子的嵌入式软件开发基本平台关键技术研究与实现汽车电子中的LED驱动电路的研究设计世界汽车电子产业发展现状及趋势基于AUTOSAR的汽车电子设备驱动及抽象的设计与实现基于汽车开放系统架构的汽车电子云制造架构基于汽车电子控制网络的CAN总线网络环境的研究汽车电子半实物仿真平台的研究面向汽车电子基础软件的配置技术研究与实现汽车电子的电磁兼容性研究基于CAN总线的汽车灯控网络系统的设计与实现基于技术创新扩散视角的我国汽车电子产业空间分布研究基于模型的汽车电子通信开发平台研究与实现大规模定制下汽车电子产品快速设计系统的研究与开发未来20年汽车电子技术发展趋势汽车电子技术的应用与发展趋势浅析新一代汽车电子系统的网络体系结构若干关键技术研究汽车电子稳定性程序(ESP)控制方法及联合仿真研究汽车电子转向系统转向执行电机的控制研究大陆汽车电子(长春)有限公司的服务营销研究参照AUTOSAR标准的汽车电子板级支撑平台设计与实现轻型汽车电子机械制动及稳定性控制系统研究基于专利分析的我国汽车电子技术进化研究汽车电子防盗报警器电路的可靠性设计分析面向汽车电子OS的模型驱动开发方法的研究与实现构建针对车载汽车电子控制装置的硬件在环仿真测试平台

加我求求吧。里面有我的联系方式

概述随着社会的发展,交通安全问题越来越凸显,传统的汽车安全理念也在逐渐发生变化,传统的安全理念很被动比如安全带、安全气囊、保险杠等多是些被动的方法并不能有效解决交通事故的发生,随着科技的进步,汽车的安全被细化,目前汽车安全分为主动安全、被动安全两种概念。主动安全技术将成未来汽车的研发重点交通安全问题已成为世界性的大问题。据报载,全世界每年因交通事故死亡的人数约50万,因此汽车的安全性对人类生命财产的影响是不言而喻的。随着高速公路的发展和汽车性能的提高,汽车行驶速度也相应加快,加之汽车数量增加以及交通运输日益繁忙,汽车事故增多所引起的人员伤亡和财产损失,已成为一个不容忽视的社会问题,汽车的行车安全更显得非常重要。而传统的被动安全已经远远不能避免交通的事故发生,因此主动安全的概念慢慢的行成并不断的完善。现代汽车主动安全技术的发展趋势汽车安全设计要从整体上来考虑,不仅要在事故发生时尽量减少乘员受伤的机率,而且更重要的是要在轻松和舒适的驾驶条件下帮助驾驶员避免事故的发生。现代汽车的安全技术包括主动安全技术和被动安全技术两方面。而被动安全技术和主动安全技术是保证汽车乘员安全的重要保障。过去,汽车安全设计主要考虑被动安全系统,如设置安全带、安全气囊、保险杠等。现在汽车设计师们更多考虑的则是主动安全设计,使汽车能够主动采取措施,避免事故的发生。在这种汽车上装有汽车规避系统,包括装在车身各部位的防撞雷达、多普勒雷达、红外雷达等传感器、盲点探测器等设施,由计算机进行控制。在超车、倒车、换道、大雾、雨天等易发生危险的情况下随时以声、光形式向驾驶员提供汽车周围必要的信息,并可自动采取措施,有效防止事故发生。另外在计算机的存储器内还可存储大量有关驾驶员和车辆的各种信息,对驾驶员和车辆进行监测控制。例如,根据日本政府“提高汽车智能和安全性的高级汽车计划”,由日本丰田公司研制成功的“丰田高级安全汽车”即具有驾驶员瞌睡预警系统、轮胎压力监测警告系统、发动机火警预报系统、前照灯自动调整系统、盲区监控系统、汽车间信息传输系统、道路交通信息引导系统、自动制动系统、紧急呼叫(SOS)停车系统、灭火系统以及各向安全气囊系统等,其中有些单项设备已投放市场。汽车100多年的发展史中,有关汽车的安全性能的研究和新技术的应用也发生了日新月异的变化,从最初的保险杠减振系统、乘客安全带系统、安全气囊到汽车碰撞试验、车轮防抱制动系统(ABS)、驱动防滑系统(ASR),到无盲点、无视差安全后视镜及儿童座椅系统的研究,汽车的安全性能正日趋完善。特别是近几年,随着科学技术的迅速发展,越来越多的先进技术被应用到汽车上。目前,世界各国都在运用现代高新科,加紧研制汽车安全技术,一批批有关汽车安全的前沿技术、新产品陆续装车使用,使未来的汽车更加安全。未来汽车电子控制的重要发展方向之一是汽车安全领域,并向几个方向发展:利用雷达技术和车载摄像技术开发各种自动避撞系统;利用近红外技术开发各种能监测驾驶员行为的安全系统;高性能的轮胎综合监测系统;自适应自动巡航控制系统;驾驶员身份识别系统;安全气囊和ABS/ASR。随着更加先进的智能型传感器、快速响应的执行器、高性能电控单元、先进的控制策略、计算机网络技术、雷达技术、第三代移动通信技术在汽车上的广泛应用,现代汽车正朝着更加智能化、自动化和信息化的机电一体化方向发展。汽车主动安全系统为预防汽车发生事故,避免人员受到伤害而采取的安全设计,称为主动安全设计,如ABS,EBD,TCS,LDWS等都是主动安全设计。它们的特点是提高汽车的行驶稳定性,尽力防止车祸发生。其它像高位刹车灯,前后雾灯,后窗除雾等也是主动安全设计。目前安全技术逐渐在完善,有更多的安全技术将被开发并得到应用。汽车主动安全技术ABS(防抱死制动系统)它通过传感器侦测到的各车轮的转速,由计算机计算出当时的车轮滑移率,由此了解车轮是否已抱死,再命令执行机构调整制动压力,使车轮处于理想的制动状态(快抱死但未完全抱死)。 对ABS功能的正确认识:能在紧急刹车状况下,保持车辆不被抱死而失控,维持转向能力,避开障碍物。在一般状况下,它并不能缩短刹车距离。EBD(电子制动力分配系)它必须配合ABS使用,在汽车制动的瞬间,分别对四个轮胎附着的不同地面进行感应、计算,得出摩擦力数值,根据各轮摩擦力数值的不同分配相应的刹车力,避免因各轮刹车力不同而导致的打滑,倾斜和侧翻等危险。ESP(电子稳定程序)它实际上也是一种牵引力控制系统,与其它牵引力控制系统比较,ESP不但控制驱动轮,而且控制从动轮。它通过主动干预危险信号来实现车辆平稳行驶。如后轮驱动汽车常出现的转向过多情况,此时后轮失控而甩尾,ESP便会放慢外侧的前轮来稳定车子;在转向过少时,为了校正循迹方向,ESP则会放慢内后轮,从而校正行驶方向。EBA(紧急刹车辅助系统)电脑根据刹车踏板上侦测到的刹车动作,来判断驾驶员对此次刹车的意图,如属于紧急刹车,则指示刹车系统产生更高的油压使ABS发挥作用,从而使刹车力更快速的产生,缩短刹车距离。LDWS(车道偏离预警系统)该系统提供智能的车道偏离预警,在无意识(驾驶员未打转向灯)偏离原车道时,能在偏离车道秒之前发出警报,为驾驶员提供更多的反应时间,大大减少了因车道偏离引发的碰撞事故,此外,使用LDWS还能纠正驾驶员不打转向灯的习惯,该系统其主要功能是辅助过度疲劳或长时间单调驾驶引发的注意力不集中等情况。胎压监控美国国家公路交通安全管理局 (NHTSA) 已经做出要求,截止2003产品年车重小于或达到4536公斤的所有美国乘用车辆都必须配备胎压监控系统,事后宝马公司就已经把该系统用在全系轿车中。驾驶者可以通过车内提示警告系统来判断轮胎胎压情况是否正常,首先避免了因轮胎亏气出现的行车跑偏,其次在高速行驶时也对乘坐者安全是一种保障。?所用车型:奥迪、宝马、上海通用别克君越、凯迪拉克、雷克萨斯、迈巴赫、梅塞德斯奔驰、沃尔沃等倒车警告/倒车影像/车外摄像头倒车警告这项技术用于在驾驶期间以及驻车时,针对您盲区中的轿车或物体向您发出警告。通常,该系统会在您行车时已经进行响应;它可能会使后视镜内的一个警告标示进行闪烁,同时会发出声音警告,该系统是一个短程检测系统。如:上海通用别克君越车内后视镜就配备此功能,反光镜左边会有一个车体形状的图标,前/后雷达在侦测障碍物时警告标示会给驾驶者以视觉和听觉上的警告。倒车影像和后视摄像机是一体,不仅保护您的轿车,还能够避免在倒车时意外伤及儿童和动物。倒车已经从向下倾斜后视镜或发出声音警告到实时查看。新一代技术包括一个摄像机,它可以与导航系统协同工作,对您身后的一切进行广角拍摄,然后反映在车内屏幕上,从而帮助您倒车或挂接拖车。所用车型:雷克萨斯、上海通用别克君越、梅塞德斯-奔驰等芯片防盗系统财产安全也被人日益关注,一部几十万的轿车被偷盗会让车主受到很大的损失。厂家也绞尽脑汁为轿车加入更多的安全防范系统。通用别克君越不仅在点火钥匙上加入Passkey III安全防盗系统,还针对后行李箱结构进行了改进,变为遥控开启无锁芯防盗模式,大大减低了被盗被撬的几率,给车主财产方面的最大保护。自动感应大灯和/或夜视辅助系统自动感应大灯随车辆周边环境光线影响,系统会自动识别判断。雨雾天气光线不够,大灯会自动亮起给驾驶者提供更安全的行车环境。后期厂家又延伸到自适应大灯系统,这更高级的系统会因方向而调节(在车辆转向时会转动灯光)。它们也可以是车速感应式车灯(可以改变光束的长度或高度),或者对环境光进行补偿。夜视系统可以有不同的形式,如基本的红外线大灯或热成像摄像机。但是无论采用何种科技,作用都一样:在夜间或者视线不明的情况下,帮助您看清更远处的路面并且辨别接近 1000 英尺外道路上的动物、人或树木。图像在驾驶室中的显示屏上形成,使肉眼难于看清的障碍物体提前被驾驶者掌控,目前博世公司开发的夜视系统则具有以上功能,但价格很是昂贵,即使是超豪华轿车目前也基本为选配系统。相信不久将来这一更高级的系统也会被中高级轿车所选用。所用车型:凯迪拉克、雷克萨斯、林肯、梅塞德斯-奔驰S系等相关运用车型(ASR奔驰/TCS凯迪拉克/TCR丰田/DCT宝马、电子稳定控制系统(ESP博世/DSC宝马/VSC丰田/VDC日产/VSA本田)、陡坡缓降系统(HDC)、自动驻车/上坡辅助系统、高位刹车灯(第三刹车灯)等这些都属于汽车主动安全配置产品。 除了以上这些在操控性方面的主动安全设施外,还有基于图像处理技术以及雷达感应技术,可以提前预防和缓解交通事故的汽车主动安全用品。其中以基于图像处理技术原理的碰撞预警系统为目前汽车主动安全产品中的领航者。最新汽车主动安全技术驱动防滑控制系统VSC车辆稳定控制系统四轮转向控制技术卫星导航与车距控制系统自动刹车系统LWDS车道偏离预警系统LNVS夜视系统FCWS前碰撞预警系统HMWS车距监控系统HUD抬头显示系统最新主动安全产品运用车型1、VOLVO-XC60 城市安全系统,自动刹车。2、奔驰公司,自动报警、自动锁定车速刹车。3、福建东南汽车工业集团----东南(三菱君阁)旗舰版已经配套车道偏离预警系统。4、(VOLVO-S80)配套车道偏离预警;5、( BMW-X5)配套车道偏离预警和HUD抬头显示系统;6、(宝马-745)配套被动式红外夜视系统;7、 新(奔驰-E350)带车道偏离预警和主动夜视系统上市;8、 新(凌志)LS460和E350已经配套视觉和雷达结合防撞系统;9、 现代顶级豪车(雅科仕)带车道偏离预警上市;10、 (雪铁龙C4)配套车道偏离预警系统;11、 英菲尼迪顶级版和起亚k7北京车展也展示带车道偏离警报器系统的车;12、欧洲2012年新车必须强制安装车道偏离预警(LWDS)。国际市场运用国际市场运用综述虽然人们采用各种方法来保证驾驶员的安全,但是如何避免事故发生才是我们对于未来车辆安全的讨论重点。因为只有最大程度地减少事故发生率,才能最好地体现车辆安全。可以预见,主动安全将成为未来汽车安全技术发展的重点和趋势。在不断完善被动安全系统的同时,逐渐地发展和应用主动安全系统,尽量避免事故的发生,结合行人保护的概念和技术的引入,完善对行人的保护是当今汽车安全的发展趋势。通过数据总线进行系统集成,可以将汽车安全的很多方面,例如防驾驶瞌睡装置、轮胎压力监测报警装置、行人碰撞保护装置集成在一起,提高汽车的安全性能。未来智能行人保护系统(IPPS)、高级驾驶员辅助系统、保持车道状态系统、夜视系统、高灵敏度雷达传感器和激光雷达技术的应用将大大提高汽车主动安全的水平。欧盟委员会和日本政府已颁布了新法规来保护行人和其他易受伤的道路使用者。相信随着技术和立法的不断完善,汽车主动安全技术将成为未来汽车安全技术发展的重点。它将与被动安全技术一起发挥作用,保证驾驶员和行人的安全。汽车安全性已经不仅是个技术问题,在某种程度上也是一个重要的社会问题。汽车的主动安全性因其定位于防患于未然,所以有着广阔的发展前景,越来越受到汽车生产企业、政府管理部门和消费者的重视。在汽车业群雄逐鹿的今天,中国汽车工业必须顺应汽车主动安全技术发展的方向,在我国有计划、有步骤地发展现代汽车主动安全技术是势在必行的。目前国内主动安全技术的研发还比较滞后,但广阔的前景不言而喻。当然主动安全的意识要不断的推广普及,让更多的人加入主动安全的行列中。更希望涌现一批像南京运泰汽车自动防撞器销售有限公司这样的以(关爱生命,造福人类)为主旨致力于推广主动安全事业的单位。

汽车电动后视镜毕业论文

厢式汽车底盘改装设计【摘要】根据用户需求,使厢式汽车具有各种功能,必须对其底盘进行改造。文章在分析底盘改装设计内容和要求的基础上,对车架后悬的改装,千斤顶的安装,油箱的移位等提出改造设计方案,并提出了操作注意事项。【关键词】底盘;改装设计;注意事项0引言厢式汽车是具有独立的封闭结构车厢或与驾驶室联成一体的整体式封闭结构车厢,装备有专用设施,用于载运人员、货物或承担专门作业的专用汽车厢式汽车主要由二类汽车底盘、车厢,连接装置等组成。多数情况下,生产厢式汽车的专用汽车改装厂自己不生产底盘,而是从生产汽车的主机厂购买二类汽车底盘,回厂后根据需要对底盘进行改装设计。为了满足用户提出的要求,保证厢式车具有各种各样的功能,需要对底盘进行这样那样的改装设计总结笔者多年来的工作经验,底盘改装项目主要有车架后悬的改变、加装千斤顶、油箱移位、移动横梁、移动汽液管等。改装时,总的原则是不影响、不降低原二类底盘的性能,不允许随意改变底盘轴距、轮距,保证改装后底盘的强度性能。改装设计应使原来底盘的保养部位、润滑点、注油口、蓄电池和驾驶室翻转操纵机构易于接近,便于操作,不能损坏原底盘上为用户正确使用而设置的各种标识,不应使底盘的维修及保养变得困难[1]。1车架后悬的改造后悬改装设计车架后悬的改造有两种情况,1)后悬缩短。2)后悬加长。按照GB7258《机动车运行安全技术条件》[2]要求,客车及封闭式车厢的车辆后悬不得超过轴距的65%,最大不得超过。对于特殊改装汽车,除了满足上述条件外,为了保证车辆越野性,还要满足离去角要求,GJB219B《军用通信车通用规范》[3]中规定,底盘改装后离去角不得小于26°。一般情况下,车架后端至上装车厢后端的距离不得超过400 mm。当缩短车架后悬时,要保留后横梁或直接利用后横梁附近之前的横梁,同时注意不能损坏板簧后吊耳的连接。当加长车架后悬时,后横梁至前一横梁的距离不应大于1 200mm~1 400 mm,必要时在延长的空间内纵向增加辅助横梁。不论缩短还是加长车架后悬,改制后的后横梁在车架大梁前大约50mm左右(见图1)。后悬加长设计时,为了保证车架的强度,要采用与原车架纵横梁同型号、规格的材料,材料的性能、质量应符合相应标准的规定,一般车架都选用16MnL专用材料。后悬改装操作注意事项后悬改装时要移动后横梁或增加辅助横梁,横梁与纵梁上下翼联接最好采用铆接方式。铆接具有工艺简单、抗震、耐冲击和牢固可靠等优点。如果采用螺栓联接,要注意螺栓应采用强度等级不低于级的螺栓,螺母应采用自锁螺母,整体上要保证强度和防松要求。纵梁加长一般采用焊接方式,为了确保车架加长不出现质量问题,一般企业都制定了《车辆改装车架接长专用工艺规程》,其中规定了焊接人员、设备、材料、操作方法等,每批产品改装前都要做焊缝强度试验,试验合格后,才允许按照工艺要求进行施工。试样材料与被接长的纵梁一致,一般都是16MnL,按照下图制作两件(见图2)。两件对接立焊,采用J507或J502焊条,分两次焊完,底层采用!( mm焊条,顶层采用(!4 mm焊条,电流I=110~170A。焊缝要求如下(图3)。

目录论汽车搭铁不良的危害〔摘要〕搭铁线就是一种电流的回流线,电源从电瓶正极出来,经过各种开关、电器执行机构、再经过一根回流线回到电瓶负极,形成一个循环,使电器产生各种各样动作和功用,汽车上采用的是单线制,即大多数线都是来自电源的,各种用电执行机构的回路不都是直接到电瓶负极的,而是通过汽车本身的金属机体间接地回到电瓶负极的,但凡连接到汽车金属机体的线我们都可以统称搭铁线。〔关键词〕负极;搭铁;回路电气设备的某个部分与大地之间作良好的电气联接称为接地。与大地土壤直接接触的金属导体或金属导体组称为接地体:联接电气设备应接地部分与接地体的金属导体称为接地线;接地体和接地线统称为接地装置。电气设备接地的目的主要是保护人身和设备的安全,所有电气设备应按规定进行可靠接地。汽车电路中有许多用电设备被不同颜色的电线连接起来,其中最不可忽视的应该是搭铁。负极是习惯叫法。负极搭铁的作用是所有电路用电设备的回路,搭铁不良过载故障而过热损坏,甚至起火。因此我们可以认为,搭铁是非常重要的,没有搭铁所有的电器设备,就用不了,所以千万不要小看它,把它当成可有可无的东西。1汽车电路的组成汽车整车电路通常有电源电路、起动电路、点火电路、照明与灯光信号装置电路、仪表信息系统电路、辅助装置电路和电子控制系统电路组成。电源电路也称充电电路,是由蓄电池、发电机、调节器及充电指示装置等组成的电路,电能分配(配电)及电路保护器件也可归入这一电路。起动电路是由起动机、起动继电器、起动开关及起动保护电路组成的电路。也可将低温条件下起动预热的装置及其控制电路列入这一电路内。点火电路是汽油发动机汽车特有的电路。它由点火线圈、分电器、电子点火控制器、火花塞及点火开关组成。微机控制的电子点火控制系统一般列入发动机电子控制系统中。照明与灯光信号装置电路是由前照灯、雾灯、示廓灯、转向灯、制动灯、倒车灯、车内照明灯及有关控制继电器和开关组成的电路。仪表信息系统电路是由仪表及其传感器、各种报警指示灯及控制器组成的电路。辅助装置电路是由为提高车辆安全安性、舒适性等而设置的各种电器装置组成的电路。辅助电器装置的种类随车型不同而有所差异,汽车档次越高,辅助电器装置越完善。一般包括风窗刮水及清洗装置、风窗除霜(防雾)装置、空调装置、音响装置等。较高级车型上还装有车窗电动举升装置、电控门锁、电动座椅调节装置和电动遥控后视镜等。电子控制安全气囊归入电子控制系统。电子控制系统电路主要有发动机控制系统(包括燃油喷射、点火、排放等控制)、自动变速器及恒速行驶控制系统、制动防抱死系统、安全气囊控制系统等电路组成2汽车电路的特性低压汽油车多采用12V,柴油车多采用24V。直流主要从蓄电池的充电来考虑。 单线制单线制即从电源到用电设备使用一根导线连接,而另一根导线则用汽车车体或发动机机体的金属部分代替。单线制可节省导线,使线路简化、清晰,便于安装与检修。 负极搭铁将蓄电池的负极与车体相连接,称为负极搭铁。并联电路中的各用电器并列地接到电路的两点间,用电器的这种连接方式叫做并联。即若干二端电路元件共同跨接在一对节点之间的连接方式。这样连成的总体称为并联组合。其特点是:组合中的元件具有相同的电压;流入组合端点的电流等于流过几个元件的电流之和;线性时不变电阻元件并联时,并联组合等效于一个电阻元件,其电导等于各并联电阻的电导之和,称为并联组合的等效电导,其倒数称为等效电阻;几个初始条件为零的线性时不变电容元件并联时的等效电容为;几个初始条件为零的线性时不变电感元件并联时的等效电为;正弦稳态下,几个复数导纳的并联组合的等效导纳为,式中Yk是并联组合中第k个导纳。并联电路中,电阻大小的计算公式为 1/R=1/R1+1/R2+1/R3+…… (R1、R2、R3……表示各支路电阻大小)串联和并联的区别:若电路中的各元件是逐个顺次连接来的,则电路为串联电路,若各元件“首首相接,尾尾相连”并列地连在电路两点之间,则电路就是并联电路。在并联电路中,除各支路两端电压相等以外,电阻和其它物理量之间均成反比(在相同时间内), R1:R2=I2:I1=P2:P1=W2:W1=Q2:Q1 除电阻和电压以外,其它物理量之间又成正比I1:I2=P1:P2=W1:W2=Q1:Q2 。基本并联线路图3一般汽车电路的接线规律汽车线路一般采用单线制、用电设备并联、负极搭铁、线路有颜色和编号加以区分,并以点火开关为中心将全车电路分成几条主干线,即:蓄电池火线(30号线)、附件火线(Acc线)、钥匙开关火线(15号线)。蓄电池火线(B线或30号线)从蓄电池正极引出直通熔断器盒,也有汽车的蓄电池火线接到起动机火线接线柱上,再从那里引出较细的火线。点火仪表指示灯线(IG线或15号线)点火开关在ON(工作)和ST(起动)挡才有电的电线,必须有汽车钥匙才能接通点火系统、预充磁、仪表系统、指示灯、信号系、电子控制系重要电路。专用线(Acc线或15A线)用于发动机不工作时需要接入的电器,如收放机、点烟器等。点火开关单独设置一挡予以供电,但发动机运行时收音机等仍需接入与点火仪表指示灯等同时工作,所以点火开关触刀与触点的接触结构要作特殊设计。起动控制线(ST线或50号线)起动机主电路的控制开关(触盘)常用磁力开关来通断。磁力开关的吸引线圈、保持线圈可以由点火开关的起动挡控制。大功率起动机的吸引、保持线圈电流也很大(可达40~80A),容易烧蚀点火开关的“30-50”触点对,必须另设起动机继电器(如东风、解放及三菱重型车)。装有自动变速器的轿车,为了保证空挡起动,常在50号线上串有空挡开关。搭铁线(接地线或31号线) 汽车电路中,以元件和机体(车架)金属部分作为一根公共导线的接线方法称为单线制,将机体与电器相接的部位称为搭铁或接地。搭铁点分布在汽车全身,由于不同金属相接(如铁、铜与铝、铅与铁),形成电极电位差,有些搭铁部位容易沾染泥水、油污或生锈,有些搭铁部位是很薄的钣金件,都可能引起搭铁不良,如灯不亮、仪表不起作用、喇叭不响等。要将搭铁部位与火线接点同等重视,所以现代汽车局部采用双线制,设有专门公共搭铁接点,编绘专门搭铁线路图,堪与熔断器电路提纲图并列。为了保证起动时减少线路接触压降,蓄电池极桩夹头、车架与发动机机体都接上大截面积的搭铁线,并将接触部位彻底除锈、去漆、拧紧。4汽车搭铁的含义搭铁是电路上的术语,比较常见的是在汽车修理行业搭铁是直接和负极相连(车身大架就是负极)短路的意思轻微的打铁会造成汽车跑电,严重了就会烧坏线路甚至着火。为减少蓄电池电缆铜端子在车架车身连接处的化学腐蚀,提高撘铁可靠性、统一标准,便于汽车电子设备的生产、使用和维修,汽车电气系统使用单线制时、必须统一电源负极撘铁。5汽车搭铁的形式及作用主搭铁线在汽车上,搭铁线是构成电路回路的一部分,但有时候会发现大量的电器元件,就靠仅有的1—2根搭铁线来传递电流,这是因为对于电子线路,很多是数字信号及高精度的模拟信号电路,如果搭铁线有接触不良故障时,就相当于在电路中串联了一个接触电阻Rj一样,就可能会使高精度的信号值失真。因此,只有非常良好的搭铁线才能达到要求,所以在很多含有电子设备的线路中,有意识地装了少量的非常好的搭铁线(即主搭铁线)。并且在搭铁线的两端还使用了特殊形状的搭铁线连接端子、垫片和紧固螺钉,对部件的线路也给予了特殊的考虑。    主搭铁线如果出现故障将影响很多线路,而不只是一条线路工作不正常,因此维修人员在故障诊断时必须考虑主搭铁线故障,以免瞎猜乱测或更换一些价值昂贵的电器元件。备用搭铁线    备用搭铁线是指已经有了主搭铁线的同一电路的第2甚至第3搭铁线。它是基于安全和性能的考虑。最简单的例子是计算机电路。附加搭铁线不仅是备用搭铁线,而且还可以改善某些具有复杂电子电路部件的搭铁状况,也就是说,如果没有这一条看似多余的备用搭铁线,虽然能勉强工作,但电路的性能就会退化或者不稳定。防静电搭铁线   对汽车方面的静电而言,它的危害主要有2个方面:一是汽车上较精细的电子及无线电设备,二是汽车上的驾驶员及乘员。为了减小汽车静电的危害,在汽车上装了很多防静电搭铁线来解决这一问题。常见的防静电搭铁线主要安装在以下部位。由于车轮产生大量静电,因此有些汽车甚至在燃料系统的周围加装防静电搭铁线。在这一部位的防静电搭铁线,如果不注意会看不见它。由于汽车内乘员袖口附近、衣物及座椅等处都会产生静电,因此在底座内安装防静电搭铁线,人们可能会看不见它。为了消散加油时积聚的电荷,在燃油油箱加油口处安装有防静电搭铁线,因为加油口加油时有大量的燃油蒸气。所以,拆下任何维修口处的搭铁线后,一定要记住把它重新接好。如果加油口处的防静电搭铁线损坏了,应先装一条跨接线作为临时防静电搭铁线,且在防静电搭铁线装上前,不要将其拆下。当安装电子组件时,特别是在仪表板下面安装时维修人员身体应搭铁。因为维修人员身体向工作的位置滑动时,特别是沿着轿车的内饰件向仪表板下的工作位置滑动时,人体会产生大量静电。 完全断路一般有导线断开、连接端子锈蚀、搭铁导线根本没有与车身搭铁几种情况。对于这类故障,其搭铁线失去了任何作用,严重时可能导致电器不能工作或较明显的工作不良。通常情况下都能通过目视检查发现故障,如果通过目视检查不能发现故障,可以进行电阻值的测量。导通不良主要有导线断股、连接端子锈蚀、连接端子松动、基体件导电不良等几种情况。通常情况下都能通过目视检查发现故障,如果通过目视检查不能发现故障,可以进行电阻值的测量。6诊断搭接导线故障断路故障断路就是电流的通路受阻,不能形成电流回路。平常工作中所说的搭铁不良故障,大多是指搭铁线断路故障。根据实践工作中的情况,按电流的流通状态可以分为完全断路和电流通道受阻(主要是接触不良)2种状况。完全断路一般有导线断开、连接端子锈蚀及搭铁导线根本没有与车身搭铁几种情况。对于这类故障,其搭铁线失去了任何作用,严重时可能导致电器不能工作或较明显的工作不良。通常情况下都能通过目视检查发现故障,如果通过目视检查不能发现故障,可以进行电阻值的测量。导通不良主要有导线断股、连接端子锈蚀、松动及基体件导电不良等几种情况。通常情况下都能通过目视检查发现故障,若通过目视检查不能发现故障,可以进行电阻值的测量。短路(搭铁)线路馈电端短路线路馈电端是指在电机、灯及电磁线圈等用电器前面的线路,线路馈电端短路通常是由于导线绝缘层损坏引起的。造成导线绝缘层损坏的原因有:在安装某些车身零件时固定螺钉拧得太紧安装品质差、导线太松及绝缘层内进入液体变质’绝缘层与发动机灼热的零件(如排气歧管)靠得太近而被烧穿;或被车身金属的锋刃割破;或与车身部件间摩擦磨损等。大多数损坏部位较容易看见,但并不是所有的损坏部位都能直接看见,因为有的损坏部位可能藏在门内或内饰后面。现在,汽车上的线束密集而复杂,对于不易看见的短路故障是很难发现的。可用万用表进行电压及电阻的测量,也可用检测灯和专用蜂鸣器来检查短路。为安全起见,在检查前可用电池取代汽车上的12V蓄电池作电源。因为出现短路故障时通常要烧毁熔断丝,所以在检查时首先将已打到电压档或欧姆档的万用表或欧姆表或电压表的红表笔接到断路熔断丝的负荷端,黑表笔接车身搭铁部位,然后从熔断丝座开始沿着线束移动手指,扭捏、抖动及摇晃线束(用手每次移动检查的导线长度大约为10~20cm)。当手触到短路部位时,万用表或欧姆表或电压表的读数应回到0(或接近于0)。若用检测灯和专用蜂鸣器检查短路,此时检测灯亮,蜂鸣器发出蜂鸣声。如果线束的安装较隐蔽,用上述方法不能对短路部位进行确定时,则必须拆下其饰件进行检查。很多汽车维修资料中都有汽车的布线图。可先用短路检测器进行检查,它至少可以帮助确定短路位置是否在壁板的后面或地毯的下面。对处于壁板后面的线束,只要认真地检查,就可用短路检测器找到与线束短路非常接近的部位,从而可避免为了接近线束而拆掉所有部位的壁板。.线路搭铁端短路线路搭铁端即用电器之后的线路。线路搭铁端出现短路故障的诊断比较复杂。因为很多用电器都在搭铁端用开关控制,如果短路点是在手开关或其它控制开关之前甚至是开关本身短路,驾驶员将不能断开用电器。用电器不能断开时,一般都从用电器开始进行诊断,先断开用电器的搭铁线路,如果线路断路(例如灯熄灭或电机停转),说明问题出在线路的搭铁端。然后对照电路图沿着电路一次检查1个连接点。对于在搭铁的一端开关,可用欧姆表或电池检测灯等检查其是否短路,如果开关在断开位置电路仍然是导通的,说明开关短路,应予以更换。在实际维修中,为了节约时间,特殊情况下可采用跨接布线法,即在可以确定哪根导线出了故障时,将这根导线两端断开,在2个相应端头间接1根新导线,将其敷设在配线的外面,但要注意其敷设的路线必须是在无保护的条件下能够避免损坏,这样做只是绕过了故障部位,而不是检查了这个部位。例如,车身螺钉穿透了配线,而且仍然在原来的位置上,很可能其它线路已经被损坏,不久就可能引起故障,所以必须根据情况决定是否进行更彻底的修理。7电路搭铁不良故障的主要特征由电路搭铁不良引起的形形色色的汽车故障,大致具有以下几个特征:启动困难在汽车启动系统电路中,包含有蓄电池负极与车架之间的搭铁线以及启动机磁场线圈接线柱搭铁,若这些部位接触不良,会明显影响发动机的启动性能。一辆电喷轿车,已经行驶4万km,将点火开关转至启动挡,启动机没有反应。将变速杆挂入1挡,可以推车启动。检查蓄电池的电压,正常。拆下启动机试验,运转良好。最后发现是蓄电池的负极电缆搭铁处锈蚀。由于启动机的启动电流高达100A以上,若蓄电池的负极电缆搭铁不良,在搭铁处形成很大的接触电阻,导致电压降增加。这一接触电阻与启动机电枢绕组串联并“分压”,启动时分配到电枢绕组上的电压降低,流到启动机的电流减小,所以启动机运转无力,不能产生足够大的电磁转矩带动发动机曲轴旋转,严重时导致电路不通而使启动机不能转动。 仪表指示反常一辆揽胜车,用户抱怨发动机的水温太高。经过检查,发现用故障诊断仪读出的发动机水温与水温表显示的水温相差20℃。由于发动机ECU检测的水温数值与发动机的实际水温基本相符,因此怀疑水温表的传感器有问题,测量其电阻值,正常。检查其线路和搭铁,也无异常,更换水温表无济于事。最后,发现发动机的搭铁线与车身的连接处有腐蚀现象,将搭铁处用砂布打磨干净后,故障排除。分析这一故障的形成原因,是由于水温表传感器的搭铁线接在发动机上,因此水温表反映的实际上是水温传感器与蓄电池负极之间的电阻值,由于发动机本身搭铁不良造成水温传感器的电势堆积,所以感应出来的电阻值比较高,导致水温表指示反常。 另外,若仪表盘稳压器的电阻丝搭铁不良,稳压器将不能正常工作,当输出电压和输入电压相等时,会出现水温表及燃油表同时指示最大刻度的现象。 故障时有时无一辆轿车,行驶中无规律熄火,熄火后有时能启动,有时不能启动,有时等待半小时左右才能启动。连接油压表和K81解码器检查,发现当发动机突然熄火时油压表指示正常(250kPa),同时ECU反映的蓄电池电压值突然跳动一下,于是怀疑系统搭铁不良。测量发动机壳体与蓄电池负极间的电位差为,启动机运转时的电位差为,可见启动时在搭铁处消耗了较大的电流,导致启动电流减小,因此发动机不能顺利启动。拆开发动机壳体到车身左侧的搭铁线,发现搭铁处表面有几个锈斑。由于搭铁处接触状态不稳定,而且电阻较大,因此ECU在启动时因供电不足而无法实施正常控制。用砂布打磨搭铁处的锈斑后,故障排除。 产生异常火花一辆越野车,更换新启动机以后,接通点火开关,只听到“嗒嗒”的电磁开关吸合声,启动机却不旋转。拆开启动机的防尘套并接通点火开关检查,在启动机拨叉处看到强烈的电火花。原来,启动机出厂时,其外部涂有一层防止锈蚀的保护油漆,正是这层较厚的油漆使启动机与发动机的结合处接触不实,即造成启动机搭铁不良。当把启动机前端与飞轮壳接触部位的黑油漆清除干净,使其露出金属表面后,故障排除。 有的轿车在松开离合器踏板时有电火花产生,而且燃油表指针来回摆动。这种现象说明发动机搭铁不良,造成车上仪表电路出现间歇性断路,无法形成正常回路,电流便由离合器拉索流到离合器踏板处,从而在该处形成电火花。 另外,在摇车时,如果在手摇柄与保险杠之间出现火花,大多数是发动机与车架之间的搭铁铜带线松动。这种情况往往发生在汽车大修(尤其是喷漆)后,主要原因是未清除搭铁处的防锈油漆以及搭铁处固定不牢靠引起的。加速时车辆前后窜动一辆桑塔纳2000轿车,装备AFE 4缸电喷发动机,怠速正常。但是出现不定期的行驶无力,加速时车辆前后窜动,在颠簸路面上情况更加严重。 用故障诊断仪检测,没有故障码显示。既然发动机怠速正常,说明进气管漏气的可能性不大。测量燃油系统压力,用钳子夹住回油管,再加速,发现燃油压力仍然偏低而且波动,说明不是燃油压力调节器的故障。考虑到故障在加速时及路面颠簸时出现,说明燃油泵泵油不连续,所以重点检查燃油系统各电接头是否存在虚接现象。用万用表测量电动燃油泵的棕色线头与发动机机体之间的电阻为80kΩ,用手拉动一下线头,电阻值又变为0,说明故障是由电动燃油泵的搭铁线接触不实引起的,经过拧紧电动燃油泵搭铁线的紧固螺钉后,故障排除。 分析原因,在电动燃油泵搭铁线接触不牢靠的情况下,怠速时由于发动机运转比较平稳,机体的振动不很剧烈,搭铁线尚能与机体接触,所以怠速时电动燃油泵基本上能够正常工作。但是在加速状态下,或者路面颠簸时,发动机的振动加大,燃油泵搭铁线与机体的连接处于不稳定的状态,即出现虚接现象,导致燃油泵的端电压降低,进而使燃油压力下降。于是燃油泵有时工作正常有时工作不正常,最终导致车辆加速时前后窜动。 故障出现在剧烈碰撞之后汽车经过剧烈碰撞以后,往往引起车架变形,或者连接器松动。另一方面,许多轿车的蓄电池安装在发动机旁或者座椅下面,与电控单元、电器插头等靠得很近,一旦蓄电池的电解液溢出,很容易对周边电器设备及搭铁点造成腐蚀。8寻找线路搭铁故障和电路接触不良用试灯检查导线短路先将试灯导线夹子夹在车架上即搭铁,接通开关后,将测试棒从蓄电池开始按接线顺序,逐段向用电设备方向检查,若试灯亮为导通,否则为搭铁也可采用万用表,以同样方法寻找断路故障点。寻找搭铁处当接通开关时,熔断丝立即烧断,说明开关所接通的用电设备之间线路中有搭铁之处,寻找具体发生搭铁处时,先从蓄电池引出一根火线,然后从用电设备一端开始,向开关方向按次序逐段拆线头,每拆下一个线头时用火线碰一下,若在1处,用电设备工作正常,而在2处却“叭”的一声响,并且还出现强烈火花,同时用电设备仍不工作,则搭铁处就在1与2两点之间的线路中。确定搭铁(短路)线路若开关接通的是几个用电设备,则说明其中某一个用电设备的线路中有搭铁(短路)处(见图)。为确定搭铁(短路)处,可先从该开关上拆下烧熔断丝一端所接通的全部线头,然后用蓄电池引来的火线分别地一一同它们相碰。若与1相碰时,用电设备工作正常,则说明该线路完好;若与2相碰时,“叭”的一声响且出现强烈火花,同时用电设备仍不工作,则说明该线路中有搭铁(短路)处(见图3b),然后参照图中的方法找出具体搭铁(短路)处即可。电路接触不良用电设备不能正常工作,时好时坏,在电流较大的电路中,接触处有发热或烧蚀现象。线头连接不牢、焊接不良、接触点氧化、脏污及插头松动等。外观检查各接触点的氧化、脏污及烧蚀情况,用导线把待检查的接触处短接,如果用电装置恢复正常,说明该处接触不良。切断电源开关,用万用表欧姆档测量接触处的接触电阻,根据数据大小,也可以判明故障部位。汽车出现的故障中,大部分都是由一些具体原因引发的。在检修时,如果能围绕着故障现象以及相关因素确定一条维修思路,并且沿着此思路去查找原因,一定会快速准确地排除故障。9电路搭铁不良的排查方法启动机运转以后,若蓄电池的搭铁线温度过高,搭铁处甚至有烧红的现象,说明蓄电池的搭铁线接触不良。 对于已经使用多年的老旧汽车,其搭铁部位都不同程度地存在氧化或者腐蚀。就是新车,由于在制造厂或经销商的露天停车场存放了很长时间,也容易发生搭铁不良的现象。可以在不带电的情况下测量搭铁点的电阻值,即用万用表的一根表笔可靠地连接搭铁线,另一根表笔与车身金属部分相连接,测量其间的电阻,若存在电阻,说明搭铁不良。采用模拟振动法检查。对于有怀疑的部位,可以在垂直方向和水平方向轻轻摆动搭铁线,模拟汽车行驶时的振动状态,同时观察相关部件的反应,检查搭铁线是否有虚焊、松动、接触不良或者导线断裂等现象。如果挪动某一搭铁线时故障再现或者故障消失,说明搭铁不良的地方就在此处。 测量电压降。在电路处于通电状态下,采用万用表测量搭铁点的电压降,其读数应当尽可能低(接近0)。具体方法是:启动发动机,使用万用表的直流电压档,将红表笔接触发电机的输出端,黑表笔接触发动机的机体,测出一个电压值;然后将红表笔接触发电机的输出端,黑表笔接触车架的金属部分,再测出一个电压值。正常情况下,这两个电压值应该是一致的。若前者数值大,后者数值小,相差以上,说明存在以上的电压降,它是由发动机机体与车架之间搭铁不良引起的。 注意:检测某点的搭铁情况时,应该测量该点对电源正极的电压,尽量不要测量该点对电源负极的电阻,这是因为万用表本身具有一定的内阻,测量出的电阻值误差较大。 采用试灯检查。在使用万用表检测电路尤其是电源线和搭铁线之后,最好用有负荷的试灯加以验证,这样可以避免“有电压无电流”的电气陷阱。四、防止电路搭铁不良的几项措施 为了确保启动机有足够的电压和电流,可以采用重复搭铁的方式,即用一根粗搭铁线,一端连接在启动机附近的车架上,另一端连接在启动机下的固定螺柱上,目的是减小搭铁回路的电阻,防止因启动机的固定架、固定螺柱等处接触不良引起电压降增大。在维修中如果拆下了某根搭铁线,必须装复原位。 建议不使用高压水冲洗汽车,否则很容易在搭铁处形成氧化和腐蚀。 对于确认搭铁不良的部位,先用细砂布打磨,将油漆或锈蚀物清理干净,然后涂上专用的导电胶,最后拧紧固定螺栓或者插好连接器,这样才能避免打铁不良的危害致谢感谢老师在百忙中对本论文的帮助与指导这是我们毕业时写的你略改动一下 希望能帮到你

一、 电动后视镜的结构 1. 电动外后视镜电动外后视镜的外形及内部结构,主要以枢轴为中心,由使后视镜能进行上下和左右方向灵活变换位置的两个独立的微电机、永久磁铁及霍尔IC等组成。后视镜由一个开关控制,能多方向运动,可使一个微电机工作或两个同时工作。 2. 防眩目内后视镜 液晶防眩目内后视镜的结构。在CH液晶里面放置偏光板,玻璃板被放置在经过真空镀铝的反光镜后面。当液晶间无电场时,入射光的垂直偏光被液晶染料部分吸收,而反射到反光镜上。反射光的直线偏光在液晶晶粒内进一步被染料吸收,透过光被着色反射出来。当液晶间加上电场时,则液晶及色素分子在长轴方向整齐排列,不能由染料进行光吸收。透过光量增加,反射率提高35%~42%。防眩目或非防眩目交替切换不用人工操作,自动进行操作的的装置已实用化。反光镜本体的一部分装有光敏二极管的照度传感器,能检测后随军的前照度并可进行切换控制。液晶防眩目反光镜的主要特点是:防眩目或非防眩目时,反射面是同一的,所以视野不偏离;防眩目时不发生双重形象,能够自由选择反射率(非防眩目时反射率:棱镜式约为4%,液晶式约为10%)。 二、电动后视镜的工作原理 汽车后视镜为什么能够上下、左右地自由摆动呢?主要因为这些后视镜内装有2个微型电动机,用安装在仪表板上的控制按钮可使电动机驱动后视镜作上、下、左、右摆动。 若想要右侧后视镜向上摆动,则先把滑动开关从中央位置拨到右边,再按下控制按钮的上端。此时电流的通路为:电源(+)→点火开关→熔断器→按钮接线柱B→V2→电动机M3→C→E(搭铁)→电源(-)。这样当电动机M3通电产生转矩后,便带动右后视镜向上摆动。 如果将通入电动机M3电流方向改变一下,M3就会改变转动方向,此时即可使后视镜向下摆动。其电流路径为:电源(+)→点火开关→熔断器→按钮接线柱B→C→电动机M3→V2→E(搭铁)→电源(-)。 同理可使右侧后视镜向左或右摆动,及左侧后视镜向上、下、左、右摆动。 有些汽车的后视镜还带有存储功能,即在该后视镜控制系统装有驱动位置存储器、复位开关和位置传感器等,在进行此功能的操作时,可将后视镜的调整位置存储起来,需要时可以自动回复到原来所调整的位置。 @2019

轻型电动车设计方案摘要随着科学技术的进步,交通工具越来越发达。但是它给人们带来便捷的同时,作为其动力的石油资源开始枯竭。人们意识到环境污染的严重性,已开始实施环保政策,治理大气污染,并积极探索新型无污染能源,因此,电动车越来越被人们所关注。结合电动车的历史与现状,本文全面分析了电动车国内外发展趋势。经过比较分析,充分考虑到影响轻型电动车性能的各种因素,设计出自己的轻型电动车整车结构,并完成驱动、制动控制系统的设计以及充电电池、电动机等主要部件的设计,形成控制器的初步设计方案。关键词:轻型 电动车 巡逻车 设计AbstractWith the progress of science and technology, the means of transportation is more and more developed. But it brings for people convenient at the same time, be its dynamical petroleum resources to start to be dried up. People realize the severity of the environmental pollution, have already begun to implement the environmental protection policy, manage the air pollution, and explore the new-type pollution-free energy actively. So the electronic bicycle is being paid close attention to by people. Combine the historical current situation of the electronic bicycle, this paper has analysed the domestic and international development trend of electronic bicycle in an all-round way. Through comparative analysis , I fully consider that influences various kinds of factors of electronic climbing bicycle performance , design my own electronic climbing bicycle completed car structure , and complete to drive, apply the brake design , rechargeable battery and motor of control system main selecting type of part to finish, form the preliminary design plan of the controllerKeyword:Electronic bicycle Dsign目录第一章 绪论 引言 国内外电动车的发展及现状 我国电动车产业的现状: 国外电动车的发展及现状 发展电动车的必要性和有利条件 设计任务 8第二章 电动自行车关键技术概况 电动自行车电机 电动自行车电池 镉镍电池 氢镍电池 铁镍电池 铅酸电池 电池选用情况 车架 电动自行车的控制器 控制器的介绍 智能控制器的实现方案 电机调速方案 电机及控制器保护方案 蓄电池欠压保护方案 刹车控制方案 系统程序流程 控制器结构原理图 17第三章 整车方案选择 车架造型的选择与创新 有限元素法 蓄电池安装设计 其他另部件选择对整车影响 总体设计方案的选择 21第四章 动力系统设计 阻力分析 滚动阻力F 空气阻力R 坡度阻力f 加速阻力j 电机的选择 蓄电池规格选择 29第五章 整车设计及性能分析 整车设计 车架强度的校核 电动车是否会翻倾的校核 电动车上下坡翻倾校核 侧倾校核 制动翻倾性和加速翻倾性校核 减震弹簧的设计 骑行速度校核 转向系统校核 34第六章 总结与展望 总结 展望 35致谢 36参考文献 37第一章 绪论引言中国是一个名副其实的自行车大国,自行车与老百姓的关系十分地密切,可以这样说,只要有路的地方,总能见到骑自行车的人。虽然中国人均自行车占有量高居世界首位,但是,每年仍维持着3200万辆的产量,而市场需求也达到了2900万辆。如此巨大的市场对任何一种自行车相关联的产品都是极具吸引力的。随着社会的发展,人民生活水平的提高,民众对交通工具的需求也在提高。目就,很多大中城市对摩托车上路实施严格控制,为弥补公共交通能力上的不足,一种单缸二冲程燃油型助力车大量面市。它的出现的确给城市居民的生活和工作带来了方便,但这种燃油型助力车也给城市的空气带来严重的污染。不仅如此,几十万个小汽油桶存放在千家万户内,消防工作也十分繁重。80年代曾一度兴起的电动车开发与研究,大有一统天下之势。当时电动车存在的问题很多:电机效率低、电池寿命短、电池比能量不高,很快地便冷落下来。其后,每当有新技术出现时,便会立即在电动车上使用(包括电动汽车领域)。电动车的发展经历了三起两落,人们始终没有放弃对它的研究。随着科学技术的发展,90年代中后期,电机制作技术有了突破性进展,传动和控制系统更加轻便可靠,蕾电池技术也取得了长足的进步,所有这些为电动自行车的第三次腾飞打下了坚实的基础。由于电动自行车具有无废气污染、无嗓音、轻便美观等特点,特别适合在人口较集中的大中城市中使用,故受到欧美、日本等西方国家青睐。在欧洲,电动自行车是供人们休闲使用;在日本,主要是妇女和儿童骑行。他们对电动自行车的要求相对较低。例如:电池一次全充电,续驶里程在20kM以上,时速在20hm/h以下即可。为达到这些要求,蓄电池很容易满足其要求。在中国,自行车是作为代步工具使用的。在一些大城市,职工上下班来回行走几十公里,对于一次充电仅能行驶20km的电动自行车显然不能满足要求,为保证行驶里程长,就要加大电池容量,电池容量增加,电池体积、重量、价格等都要增加。另外还有一个如何正确驾驶电动自行车的问题。这要从两个方面来看,首先它不同于自行车,因为它不是单纯依靠人力使车辆行驶;其次它不同于全电动车,当其电池没有电的时候,可以借助人力继续行驶。由此可见,电动自行车对骑行者的素质要求较高。电动自行车生产厂家,应指导用户正确使用电动自行车。国内外电动自行车的发展及现状我国电动自行车产业的现状:一、 据不完全统计,目前我国电动自行车生产企业有464家,分布在15省、市。去年中自协联同各省市协会对226家企业统计,电动自行车产量达399.72万辆。根据统计分析有以下几个特点:(一)、规模扩大,产量增长,但形成经济规模的企业依然很少2004年我国电动自行车总产量增长幅度大,但是以众多厂家的增加而增长,不是靠规模厂家的增多而增长。真正形成较大规模的企业不多,很难压制众多小企业盲目加入,年产100辆、1000辆的企业依然存在。由于小企业数量越多,所以统一规范的难度也越大。所以希望有规模的企业在保证产品质量的前提下更要加快发展,这对企业和行业都有好处,提高竞争力,提高市场占有率,可以压制一些小企业的盲目加入。(二)、自行车企业生产电动自行车的依然不多,优势并不明显。虽然电动自行车是自行车的延伸产品,但从目前看自行车企业生产电动自行车优势并不明显。中自协226家电动自行车生产企业中原生产自行车的企业仅59家,占25%,总产量110万辆,占27.5%,其中年产1万辆的企业31家,占33%,由此表明优势不明显,还没有在电动自行车产业中形成主导地位。(三)、产品质量参差不齐,质量状况不容乐观。去年399.72万辆电动自行车中真正严格按国标GB1761(1999)《电动自行车安全通用技术标准》生产的比例不高,约占50%,从上海、天津、江苏、浙江的自行车展览会上展出的样车看,达标的品种并不多。从市场价格看,1500元/辆的在增多,有的甚至价格在1000元/辆以下。这些价格的下降不是通过管理的提高,生产规模的扩大,从而成本下降,而是不少企业通过配置质量低的零部件或低价原材料、简陋的生产来降成本,实现利润,所以质量较差。从近期的产品抽样结果可以证明,2004年国家自行车监测中心对40个企业产品抽检,合格率仅75%,不合格的25%对消费者来说就是100%;近期上海检测58个企业,合格率仅63.8%;徐州抽检的不合格率达50%;还有山东胶东地区抽查15个批次,合格率仅33%。这些数据应当引起我们企业的高度重视。这些不合格产品都有三个共同问题,一是产品超重、超速。二是踏板式车型多,脚踏离地高度不够,转弯时,脚踏触地。三是车架、前叉组合强度不够,导致严重断裂,个别产品甚至制动性能都不达标,都给产品使用带来安全隐患。所以近年来电动自行车的用户投诉量不断增长。产品出口也存在质量问题,导致退货。中自协为此已搞了一个“三包”规定,并报国家技术监督局。他们也十分重视,已提到议事日程,计划搞一个全国性的电动自行车产品“三包”规定。我们企业一定要把精力放到提高质量上来。当然我国电动自行车的总体质量水平在提高,我只是把问题提得重一些,希望引起企业重视。只有抓好产品质量的一致性、稳定性,才能真正促进行业的健康高效的发展。二、2005年我国电动自行车的发展趋势2004年的产量标志我国电动自行车已进入了发展阶段。展望2005年电动自行车在刺激需求和制约需求的矛盾中仍将有新的发展。拉动需求的因素是什么,有以下几点。(1)去年我国人均GDP已达到1000美元,预示社会需求将有一个升级,收入增高,需求势头自然高。有相当部分居民把电动自行车作为代步工具作为首选。今年3月份有关部门调查全国有63%的人收入稳定增加,而且有71%的群体认为现在是购买耐用消费品的最好时期。电动自行车自然也会受到影响,使一部分人由意向转为购买行动。(2)《道路交通安全法》将于5月1日正式实施,电动自行车可以以非机动车身份名正言顺地合法上路。无疑使一部分人把目光投向电动自行车。各地有关政府部门也有法可依,将放开对电动自行车的上路限制。国家技术监督局近期也将出台新的标准。所以企业的产品一定要符合标准,乘势而上。(3)中自协统计,到2004年全行业累计产销量达700万辆。仅去年一年就增加了400万辆,这表明使用电动自行车的人越来越多,城市拥有量迅速扩大,这无疑是一个很好的产品流动大广告,必将吸引市民的眼球,成为刺激购买欲的因素。(4)2002年8月北京市通告禁止电动自行车上牌上路,当时北京出台禁令后不久,太原、桂林、福州、温州等城市也出台了电动自行车上路禁令,这可能是巧合,但也不排除受北京市的影响。今年北京将允许电动自行车上路,这无疑带来正面影响,成为电动自行车需求扩大的有利因素。当然,我们还必须看到电动车产业发展中的负面因素。(1)今年国内的电力供应仍然紧张,钢材、塑料、橡胶原材料价格还将上涨。无疑要制约行业的发展。据有关部门预计,今年我国电力缺口达2000万千瓦以上,华东、华南、华北是缺口严重地区,而我国电动自行车主要产区正是这些地区。今年1-2月份钢材平均上涨39.7%,而且权威部门预示,钢材高价位还将持续4年以上。橡胶平均上涨11%。从全国其它强势行业看,去年我国汽车增长44.7%,拖拉机增长51%,机床增长54.3%,发电机增长148.2%,冶炼设备增长66.6%,这些强势行业的用材必将挤占电动自行车行业的用材,这些都将成为制约行业发展的外部因素。(2)从内部因素看,生产企业不规范行为也将制约发展。目前行业内有许多企业的产品未能达标。说明行业内一些企业的法制观念淡薄。市场经济是法制经济。如果道路上行驶的不达标电动自行车越来越多,非机动车和机动车混在一起,必将要引起交管部门的重视,规范的力度必然加大,到一定程度不达标电动车将受到限制,对行业来说是自毁前程。如按现状发展下去,我想断送电动自行车行业的时间不会很长,所以业内企业一定要高度重视这个问题,自觉遵纪守法,共同促进行业的健康发展。三、要把握落实科学发展观,促进电动自行车的发展中央十六大提出了科学发展观的理念。胡锦涛总书记在一次人和自然会议上也提出要树立落实科学发展观。我们对此要把握好二点:一是必须着力提高经济增长的质量、效益,努力实现速度、效益的统一,使经济保持可持续发展。二是要坚持理论与实践相结合,因地制宜,因时制宜,贯彻到各个方面。电动自行车行业如何落实呢?我认为①要努力提高电动自行车的产品质量,延长产品使用期;②提高管理水平、降低能源消耗、材料消耗,就目前我们行业的管理水平还是粗放型的,表现为耗能高、耗材高、效率低、效益低。国家统计局统计2003年我国国内生产总值达116694亿元,增长速度不小,但分析,我们消耗了26000万吨钢材,占世界的25%,8.2亿吨水泥,占世界的50%和2.5亿吨石油,换来了占世界总GDP的4%。所以我们的企业家要有社会责任感,电动自行车行业也如此。1)要通过科学、严格的管理提高质量,降低消耗,节约资源,努力达到可持续发展的目标。2)要开拓创新,电动自行车行业更要紧跟时代步伐,大力运用新技术、新材料、新工艺,延长产品使用周期,减少消耗,替换紧缺原材料等,牢固根据科学发展观,推动电动自行车产业的新发展。国外电动自行车的发展及现状日本是电动自行车商品化较早的国家之一。1994年4月雅马哈公司首先推出商品名为“PAS”的电动自行车。“PAS”Power Assistant System 的缩写。本田公司则于1995年2月亮出名为“RACOON”的电动自行车,据说在性能、结构上比雅马哈的略胜一筹。雅马哈、本田两家公司在争夺电动自行车市场的拼杀上已开始就进了白热化状态。三洋、松下、小松等公司不甘落后,纷纷亮出品牌打出广告,意欲抢占市场。据不完全统计,1994年雅马哈公司一统市场,生产销售了电动山地车3万辆;1995年本田和雅马哈展开拼搏,两家公司生产销售电动自行车合计达9万多辆,两方平分秋色;1996年更多的公司加入竞争行列,实现销售超过了20万辆,其中雅马哈公司销售了7万辆,本田公司销售了6万辆;近几年的销售又有了新的突破。至此,据统计日本电动自行车生产厂家从五年前的仅有一家发展到了十三家,年生产能力突破了40万辆。欧美等西方国家生产销售电动自行车也较早,如:德国MSG公司,Diamant公司,Hercules公司等很早就推出了“Cityblitz”,“Electry”等等品牌的电动自行车;英国的Aschbach公司,奥地利的Velectro公司,意大利的Montuori公司都有电动自行车问世。而美国的Charger 公司,ZAP公司,也都出品了电动自行车。不过欧美更注重开发电动汽车,相比之下电动自行车显得无足轻重了。从最近第五十七届米兰国际两轮车展览会上得到的消息,尽管目前电动自行车的发展还存在着诸多的制约因素,但对其发展前景还是普遍看好,许多企业在电动自行车辆的研究开发中倾注了较大的力量。在这次展览会上,一些国际知名公司像奔驰、雅马哈、比亚乔等都展示出了他们的各种新型电动自行车。从这次参展的国外部分品牌的电动自行车来看,目前国外电动自行车正朝着驱动无刷化、功能多样化、控制智能化方向发展。详见表1-1。公司 品牌 主要性能参数 主要特点德国奔驰 HybirdBike 重量;电机输出功率:220W;最大时速25Km/h;一次充电续行距离:20Km 车轮:26英寸;24V5Ah镍镉电池;无刷轮毂式电机驱动;脚踏来电;皮带传动加内齿圈增速飞轮。意大利比亚乔 Albatros重量28Kg;电机输出功率:250W;最大时速25Km/h;一次充电续行距离:30Km 车轮:26英寸;36V5Ah镍镉电池;“U”字形车架;外转子无刷直流电机前轮驱动;后轮配有内变速齿轮。日本雅马哈 PAS XPC26 重量;电机输出功率:235W;最大时速24Km/h;一次充电续行距离:40Km 车轮:26英寸;24V5Ah镍镉电池;典型的中轴驱动型电动自行车。美国PMI ContinentDove 重量32Kg;电机输出功率:180W;最大时速20Km/h;一次充电续行距离:30Km 车轮:24英寸;24V12Ah镍镉电池;后轮轮毂式电机驱动,造型流畅。国内大陆鸽、安琪儿均按此车款仿型设计。表1-1国外部分电动自行车性能在美国,电动自行车将可能拥有一个重要的市场,但是必须进行适当的宣传和推销工作。2002年,美国开始更改电动自行车的立法,电动自行车的市场地位有所变化。新的法规规定:电动自行车需具有脚蹬功能,电动机功率<750w,最高行驶速度<32km/h,可以像自行车那样骑行。美国连邦法[自行车]之定义即包含了以上这类电动自行车。该立法对于电动自行车来说是好消息,车辆可以允许有帽盔、后视镜等配置,并能在自行车道上行驶。按上述条例,电动自行车由有关的消费制品安全委员会 (Consumer Product Safety Commi sion——CPSC)管理。生产电动自行车的各企业的规章制度均应合乎此法规要求。将来电动自行车新法规,可能停止或取消二轮车的骑行规定中对低龄人群的骑行许可。很多州规定年龄在16岁以上才能取得骑行许可,也有些州规定是18岁。此法规将作为美国的电动自行车的使用规则,但很多消费者和商人对之尚未适应。美国市场现有的电动自行车不少是根据日本和欧洲的模式设计的,美国对于电动自行车功率末满的问题并未拒绝。然而,美国的有些电动自行车制造厂己对新的法规优势进行研究。目前,美国市场销售的电动自行车,很多是EvGlobal、Giant、Merida和松下Panasonic的产品。新加入销售行列的有Electric Vehicle Technolo—gies(EVT)、 The Electric cycl e Company(TECC)、wave Crest Laboratories 3家公司。EVT和TECC已按新法规进行产品生产。采用高技术电动机的wave Creast Laboratory则用美国军用特殊待制品中心的有利条件生产军用电动自行车。ETC、CurrieTechnologies和Zap目前生产的电动自行车主要是轻量电动踏板车。由于美国经济受伊拉克战事、恐怖活动、失业率等种种因素的影响,消费需求也随之减弱。 美国对电动自行车的认识现状是:电动自行车尚未被认作文通工具。目前,很多购买者将之当作一种休闲娱乐器具。此外,对于市场的细致分析也没有进行。实际情况是还有不少美国人对电动自行车的存在及其功能尚未注意,或者是还不了解。因而要开拓美国电动自行车市场首先要加强宣传和广为介绍电动自行车的功能及其特性和优点。在商品广告宣传方面美国是比较强的,美国有很多电视和杂志广告,还有有线电视、CS广播和互联网发布的新产品信息,商业信息量较大,例如对商品的使用方法、功效、功能等等都有详细的宣传和说明。但是,电动自行车行业还未很好地利用此种具有优势的广告手段,而是赖于当地的传媒、邮件及行业的宣传资料联络商人。在美国,电动自行车要打开市场,应当在适当的媒体上做适量的广告,互联网就是一个很好的选择。很多商品信息通过互联网与销售商进行勾通,并解决消费者对该商品的咨询等问题。关于美国电动自行车的实际销售量,由于尚无相关机构,因此很难统计出来。美国市场的很多电动自行车是从国外进口,据中国台湾有关媒体报道,2002年,台湾省向美国出口电动自行车3.7万辆,在中国大陆洁lJ造的Pauasent、Aprillia、Pri ma等外国品牌的电动自行车输入美国的数量不多。中国大陆制造的电动自行车很多是面向中国市场而设计的。而面向美国出口的产品,对于产品的技术条件(规格、性能等)要有若干变更,例如车子的载重量、功率、速度等,更重要的是要具有较高品质、竞争力强的产品,使美国市场乐意接受。电动踏板车、轻型电动踏板车则在美国市场呈继续上升趋势,它们处于电动二轮车的重要位置。Cycle Electric公司200l—2002年间共销售电动踏板车约27万辆。还有一些小型公司,如Currie、Bleady、EV Rider制造立乘式踏板车。中国大陆向美国出口的电动踏板车也较多。坐骑式踏板车作为短距离交通工具,在美国市场扩展很快。据称,中国有100家以上制造踏板车的工厂向美国出口产品。美国电动踏板车市场的进口供应厂商有中国台湾的JD Components和HCF两家公司,HCF的Po—lari s和Merctery型号在市场处于领先地位,川Components主要提供坐骑型踏板车。美国的电动自行车市场销售渠道尚未理顺,商品目录制作公司、联网销售店、自行车专业商店、批发商店、高尔大二轮车零售商、礼品商店、自由市场以至户外市场等等均可自由销售电动自行车(含电动踏板车)。目前,很多公司在研究、探索并确立较完善、较有秩序的电动自行车销售渠道。发展电动自行车的必要性和有利条件电动自行车在我国的发展虽然经历了数十年,历经曲折,但它能够在九十年代重新崛起,应该说有其客观的必要性和有了条件。

遥控汽车设计毕业论文

“智能钥匙”已经是越来越普及的一项配置。我们只需将钥匙放在口袋里,靠近汽车一定距离时,车门锁便会自动打开;进入车内,只需按动启动按钮(或旋钮),汽车就点火启动了,使用起来十分方移动管家汽车智能系统最新款:汽车远程手机启动.一键启动PKE,移动管家品牌专车专用,免驳线安装,提前启动引擎,达到冬暖夏凉的效果给驾驶者提供舒适享受。功能简介:(手机电话启动 一键启动 感应开关门锁 遥控启动 自动防盗)实现智能化不用钥匙用车).远程手机电话启动:不必担心爱车停放的位置超出遥控器的覆盖范围,电话控制启动发动机开空调,车主只需通过手机打电话给爱车一个遥控指令,即可启动空调,冬暖夏凉一触即发;2.近距离80米内遥控器启动:方便车主操作,可不用电话控制;3.一键启动:上车后一键按钮启动,下车前一键熄火,免带钥匙;4免钥进入,智能感应开关门,当您走近车辆1-米,系统会自动打开四个车门锁,免去到处找钥匙、慌忙按遥控的烦琐动作!自动关窗:熄火下车后锁车,自动关窗(配置专用关窗器);5.省油智能功能:用户在启动车辆前的15分钟,使用遥控器或手机可远程遥控预热,不上车自动熄火,可以享受冬日驾车;6.实现全方位智能安全用车:遥控开锁,上车一键启动驾车,停车时一键熄火,下车后遥控关锁,自动防盗,自动关窗(配);7.现场遥控功能(启动发动机开空调,关锁、开锁)8.能在全国范围内电话控制(关锁、开锁、远程监听,远程熄火)9.现场警号报警功能10.车辆自动电话报警11.分区域电话报警通知(开门报警,非法启动报警,震动报警)12.防非法启动13.开尾箱功能14.停车开门闪灯功能15.震动报警吓阻功能如果我的回答对您有所帮助,记得点亮采纳哦,谢谢啦!

机电毕业设计目录 001CA6140车床主轴箱的设计 002DTⅡ型固定式带式输送机的设计 003FXS80双出风口笼形转子选粉机 004MR141剥绒机锯筒部、工作箱部和总体设计 005PLC在高楼供水系统中的应用 006Φ3×11M水泥磨总体设计及传动部件设计 007车床变速箱中拔叉及专用夹具设计 008乘客电梯的PLC控制 009出租车计价器系统设计 010电动自行车调速系统的设计 011多用途气动机器人结构设计 012机油冷却器自动装备线压紧工位装备设计 013基于AT89C51的锁相频率合成器的设计 014基于普通机床的后托架及夹具的设计开发 015减速器的整体设计 016金属粉末成型液压机的PLC设计 017可调速钢筋弯曲机的设计' 018螺杆空气压缩机 019膜片式离合器的设计 020全自动洗衣机控制系统的设计 021生产线上运输升降机的自动化设计 022双铰接剪叉式液压升降台的设计 023四层楼电梯自动控制系统的设计 024万能外圆磨床液压传动系统设计 025卧式钢筋切断机的设计 026锡林右轴承座组件工艺及夹具设计 027新KS型单级单吸离心泵的设计 028压燃式发动机油管残留测量装置设计 029用于带式运输机传动装置中的同轴式二级圆柱齿轮减速器 030知识竞赛抢答器设计 031自动洗衣机行星齿轮减速器的设

设计得服从功能。这个是个核心思路。那么你做玩具设计就得从实用角度出发同时加入你的设计构思。你的设计是为了解决什么目的。同时要引起什么东西。好比设计个遥控玩具汽车。那么样式上可以选择现实世界的车样,也可以自己设计全新的样式。就从后者说起。当确定了全新样式之后,你要给这个样式一个定位。好比当下都谈论环保,那么材料选择上,设计的动能需求上都可以做文章。同样也可以把对未来世界属于环保定位的车的概念也做进你的玩具中。当然重要的是你的玩具设计要面对的年龄层。如果要是低龄的小孩。那么设计上的兼顾些童趣极高的元素。你可以在论文中引用装置艺术、波普艺术等理论的叙述,以及自我找到的灵感综合出一个概念或理论去支持你的设计观点。大至的步骤就是这样。

汽车车灯控制毕业论文

捷达汽车电器实验台设计摘要:依据都市先锋(捷达王GrX)的车身电器设计一个实验台,此实验台可以模拟电源及起动系、照明系、信号系统及辅助电器系统的实际工作情况。通过实际演示和排除故障使学生对每个电器元件和整个电器系统有更加深刻的理解,从而达到理论联系实际的目的。关键词:模拟;电器;故障;实验台;设计电子技术在汽车上的广泛应用使汽车性能和结构不断改进和提高。在原来汽车电器系统的基础上,采用电子技术,一方面提高原机械零件的工作性能及可靠性;另一方面满足人们对汽车整体性能的要求,使汽车更加豪华、先进、舒适和安全。现代轿车广泛采用电子技术,其结构比较复杂,且汽车运行中电器故障所占的比重远远高于其它故障,约占40%一60%,这对从事汽车工程相关专业的人员提出了更高的要求。对于汽车专业技术人才培养来说,如何使理论与实践相结合,提高工程实践能力,是一项重要的课题。1捷达(GTX)电器实验台的设计实验台的总体设计要求电器实验台的设计要满足教学的需要,即具有良好的示教效果以及便捷的操控性能。同时进行相关辅助功能的开发,从而锻炼学生的工程实践应用能力。具体要求体现以下J七个方面:l)选型。具有代表性、普及性,同时具有鲜明技术特色。2)服务教学。示教效果简单、明了,操控方便快捷;并且具有故障诊断功能,以利于提高学生的工程实践运用能力。3)实验台布局。在考虑布局合理、结构紧凑的同时,要便于教学;并注意各个电器元件在工作时相互之间功率的匹配。4)实验台功能开发。预留外接端子连接其他设备的插接件,为实验台的功能扩展和更新元件提供基础和应用平乙入「习选择车型结合设计要求的普及性、代表性、特色性,中低档经济型轿车就在设计所考虑的范围内。捷达GTX经过不断发展与完善,不但技术含量较高,还有许多自身的技术特色,并且是我国国内目前保有量最多和受欢迎程度最高的普及型轿车之一,在很大程度上能代表我国轿车行业的先进水平。具有一定的代表性和可以开发利用的前景。综合以上,设计车型选定为捷达GTX型轿车。捷达GTX电器实验台的设计与校核电器实验台的设计要实现良好的操控性能及示教效果,要求台架可以实现翻转折叠,并考虑到电器系统构件的支撑及定位紧凑、台面质量分布均匀度、台面的整洁度、台面稳定性、运动件与固定件是否发生干涉等因素。台面设计电器实验台的板面设计和制作主要依据捷达(汀X轿车的车身线束进行布置,同时也参照了捷达车身的各电器元件的布置情况。该设计可以合理地利用板面空间,还可以尽最大可能地再现各电器元件在原车上的位置。但由于在同一平面上,没有空间位置关系,这样在某些细节部分和原车的实际位置就有一定的差别。板面尺寸的确定主要依据各个电器元件的形位尺寸和位置尺寸。根据线束的布置及几个主要元件的形位尺寸,然后初步估算板面的大小,把布置在板面轮廓上的元件的位置确定下来。台架的设计电器实验台台架的设计是根据板面的布置情况和大小以及某些元件的传动需要进行的,电器实验台为平面可翻转折叠式,有良好的稳定性和足够的强度来支撑板面,能翻转便于教学。由于实验台上装有起动机、发电机以及带动发电机的电动机,为了不增加板面的负荷,利于翻转,在板面下方的台架上装一U型架,将发电机输出端导线沿着台面翻转合叶处引上台面,从而使发电机和电动机不参与翻转。台架的选材台架的材料选用45钢,强度、价格均可行。台架的各连接处均采用焊接,其强度不低于原材料的强度,可以达到支撑台面及固定、稳定的作用。为了移动方便,采用橡胶轮,起到减震的作用。对台架的强度校核台架有2个稳定位置,即水平位置和与水平方向成70c夹角的位置。1)水平状态时,台架所受的重力对台架支撑点的作用力由面板的材料强度承受,合力矩为零,此时台架处于稳定状态。附属件的选择与定位电动机的选择因捷达王的车用发电机的额定功率为,额定转速为600Or/而n,所以要求选用的电动机的额定功率应大于发电机的额定功率。考虑到电动机的工作环境,选用Y系列的三项鼠笼式异步电动机。为实现可靠的传动,依据设计目的,考虑到实验台是用于教学,工作时间短,且周期性工作。选择V带传动,皮带的工作表面在短期内不会过量磨损,而使用寿命能够足够长,无需经常更换。发电机及电动机位置的确定发电机是汽车电器设备的重要元件之一,是汽车电源系统的主要来源,在汽车正常运行时,除向全部用电设备(除起动机外)供电之外,还可以为蓄电池充电。因为发电机工作转速约为6000r/而n,质量在Zkg左右,与其配合用的电动机质量为电器元件中最大的(含电动机转动),对整个实验台的稳定性会产生一定影响。考虑以上原因,把发电机及电动机的位置确定在板面下方的底架上,由板面位置示意图可以清晰看到发电机的位置,如图2所示。〔汀X电器进行了一系列的挑选,局部做出替换如下:1)所选电器元件包括蓄电池、发电机、大灯、仪表盘、中控门、电动车窗等。2)元件的替换。从实用美观考虑,对部分元件进行调整例如,汽车上的喇叭开关是方向盘的顶盖,而实验台用按键开关替代;考虑到教学的针对性及台架的整体布局,选用电动机代替发动机带动发电机转动。捷达电器常见故障列表为实现对于实验台教学诊断功能,将常见的电器系统故障进行归纳总结,选取具有代表性的故障为参考,进而实现相关故障的设置与诊断。电器系统常见故障如表1所示。实验台电器系统故障的设置为实现教学目的,根据表1中常见的故障,在实验台设置故障断路,例如车灯不亮会有很多种原因:蓄电池可能电量不足、保险丝可能烧坏、车灯灯丝可能烧坏,学生在诊断过程中结合实验台电路进行查找,就会发现具体原因及问题所在。了解电器系统工作原理的同时,提高工程分析能力。充分考虑实验台的局限性,设置的故障点全部为电路的故障,使学生结合电路图快速查找故障。2捷达电器实验台的升级作为有一定使用年限的教学设备,实验台的后续功能开发是很必要的。为了充分实现教学功能,要求在使用过程中不断完善实验台的相关功能,进行定期更新升新升级。设备本身进行升级电子设备更新越来越快,在其原有设备的基础上对淘汰较快的进行更换,以达到充分利用设备潜能的目的,不断延续实验台的使用寿命,减少不必要的资金投人。通过预留端口或改造其控制线路进行升级这样做可以对一些原本不能在实验台实现的功能进行演示,让同一个实验台可以完成多个实验项目。例如,在实验台接有自诊断端口接头,一旦接上转用的诊断设备就能实现模拟的诊断过程,充分展示数据流功能。如果有相应的发动机实验台架,将其进行连接,便能真正的演示汽车的大部分使用工况,这实际上是对已有台架的功能进行很大的扩充。实验台的控制功能升级根据汽车电器系统中使用的传感器的工作特性,利用单片机编程模拟信号,同时实现相应的演示功能。并且在信号调试过程中了解车用电器系统信号的特征,完善实验台电器系统装备,实现电器系统、电控系统功能合一。同时在故障设置以及故障诊断过程使用遥控器进行控制,操作便捷的同时对学生的故障诊断能力提出更高的要求,能够在更大程度上加强对学生工程实践应用能力的培养。3结束语汽车行业的迅猛发展,汽车控制装备的广泛应用,势必对汽车相关专业从业人员的素质要求越来越高。为更好地适应电子技术的更新,捷达GTX型轿车实验台对于提升学生的工程实践能力将会起到巨大的作用。参考文献1」吕传章.汽车维修与检测诊断【MJ.北京:人民交通出版社,2001.仁2习沈树盛.汽车电器维修经验集〔M皿.成都:四川科学技术出版社,2仅抖.〔3」汪立亮现代汽车电器设备原理与检修〔M〕.北京:电子工业出版社,2001.〔4〕严烈.AutoCAI)2000机械工程绘图实例宝典〔M〕.北京:冶金工业出版社,2001.[5」刘瑞新,赵淑萍,朱世同.Aut以二AD2000应用教程【M],北京:机械工业出版社,2000.否6」裘玉平.汽车电器设备维修厂M].北京:人民交通出版社,1997,仁7」张凤山,王颖.国产轿车故障诊断与排除精选[Ml.北京:机械工业出版社,加03.仁8〕秦明华汽车电器与电子技术仁M〕.北京:北京理工大学出版社,2003.

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过,24V电器系统不的超过。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

39XX轻工职业技术学院毕业设计 管座及其加工模具的设计 40机械工程系模具专业2006届毕业设计说明书:横排地漏封水筒注塑模 机械,机电类毕业设计1毕业设计 可伸缩带式输送机结构设计 2毕业设计 AWC机架现场扩孔机设计3毕业论文复合化肥混合比例装置及PLC控制系统设计 4机械设计课程设计 带式输送机说明书和总装图4毕业设计 冲压废料自动输送装置 5专用机床PLC控制系统的设计 6课程设计 带式输送机传动装置 7毕业论文 桥式起重机副起升机构设计 8毕业论文 两齿辊破碎机设计 9 63CY14-1B轴向柱塞泵改进设计(共32页,19000字) 10毕业设计 连杆孔研磨装置设计 11毕业设计 旁承上平面与下心盘上平面垂直距离检测装置的设计 12.. 机械设计课程设计 带式运输机传动装置设计 13皮带式输送机传动装置的一级圆柱齿轮减速器 14毕业设计(论文) 立轴式破碎机设计 15毕业设计(论文) C6136型经济型数控改造(横向) 16高空作业车工作臂结构设计及有限元分析 17 2007届毕业生毕业设计 机用虎钳设计 18毕业设计无轴承电机的结构设计 19毕业设计 平面关节型机械手设计 20毕业设计 三自由度圆柱坐标型工业机器人 21毕业设计XKA5032A/C数控立式升降台铣床自动换刀设计 22毕业设计 四通管接头的设计 23课程设计:带式运输机上的传动及减速装置 24毕业设计(论文) 行星减速器设计三维造型虚拟设计分析 25毕业设计论文 关节型机器人腕部结构设计 26本科生毕业设计全套资料 Z32K型摇臂钻床变速箱的改进设计/ 27毕业设计 EQY-112-90 汽车变速箱后面孔系钻削组合机床设计 28毕业设计 D180柴油机12孔攻丝机床及夹具设计 29毕业设计 C616型普通车床改造为经济型数控车床 30毕业设计(论文)说明书 中单链型刮板输送机设计 液压类毕业设计1毕业设计 ZFS1600/12/26型液压支架掩护梁设计2毕业设计 液压拉力器 3毕业设计 液压台虎钳设计 4毕业设计论文 双活塞液压浆体泵液力缸设计 5毕业设计 GKZ高空作业车液压和电气控制系统设计 数控加工类毕业设计1课程设计 设计低速级斜齿轮零件的机械加工工艺规程 2毕业设计 普通车床经济型数控改造 3毕业论文 钩尾框夹具设计(镗φ92孔的两道工序的专用夹具) ...4 机械制造工艺学课程设计 设计“拨叉”零件的机械加工工艺规程及工艺装备(年产量5000件)5课程设计 四工位专用机床传动机构设计 6课程设计说明书 设计“推动架”零件的机械加工工艺及工艺设备 7机械制造技术基础课程设计 制定CA6140车床法兰盘的加工工艺,设计钻4×φ9mm孔的钻床夹具 8械制造技术基础课程设计 设计“CA6140车床拨叉”零件的机械加工工艺及工艺设备 9毕业设计 轴类零件设计 10毕业设计 壳体零件机械加工工艺规程制订及第工序工艺装备设计 11毕业设计 单拐曲轴零件机械加工规程设计说明书 12机械制造课程设计 机床传动齿轮的工艺规程设计(大批量) 13课程设计 轴零件的机械加工工艺规程制定 14毕业论文 开放式CNC(Computer Numerical Control)系统设计15毕业设计 单拐曲轴工艺流程 16毕业设计 壳体机械加工工艺规程 17毕业设计 连杆机械加工工艺规程 18毕业设计(论文) 子程序在冲孔模生产中的运用——编制数控加工(1#-6#)标模点孔的程序 19毕业设计 XKA5032A/C数控立式升降台铣床自动换刀装置的设计 20机械制造技术基础课程设计 设计“减速器传动轴”零件的机械加工工艺规程(年产量为5000件) 21课程设计 杠杆的加工 22毕业设计 多回转电动执行机构箱体加工工艺规程及工艺装备设计 23毕业论文 数控铣高级工零件工艺设计及程序编制 24毕业论文 数控铣高级工心型零件工艺设计及程序编制25毕业设计 连杆的加工工艺及其断面铣夹具设计 26机械制造工艺学课程设计说明书:设计“CA6140车床拨叉”零件的机械加工工艺及工艺设备 杂合XKA5032AC数控立式升降台铣床自动换刀装置设计机用虎钳课程设计.rar行星齿轮减速器减速器的虚拟设计(王少华).rar物流液压升降台的设计自动加料机控制系统.rar全向轮机构及其控制设计.rar齿轮齿条转向器.rar出租车计价系统.rar(毕业设计)油封骨架冲压模具连杆孔研磨装置设计 .rar蜗轮蜗杆传动.rar用单片机实现温度远程显示.doc基于Alter的EP1C6Q240C8的红外遥器(毕业论文).doc变频器 调试设计及应用镍氢电池充电器的设计.doc铣断夹具设计型双动拉伸压力机的设计WY型滚动轴承压装机设计Z32K型摇臂钻床变速箱的改进设计基于PLC高速全自动包装机的控制系统应用基于单片机控制的步进电机调速系统的设计普通-式双柱汽车举升机设计无模压力成形机设计(word+CAD)手机恒流充电器的设计3 摘要.doc智能型充电器的电源和显示的设计气动通用上下料机械手的设计同轴2级减速器设计行星齿轮减速器减速器的虚拟设计(王少华)运送铝活塞铸造毛坯机械手设计_王强CA6140车床后托架加工工艺及夹具设计SSCK20A数控车床主轴和箱体加工编程织机导板零件数控加工工艺与工装设计密封垫片冲裁模设计瓶盖拉深模的设计手机塑料外壳注塑模毕业设计五金模具毕业设计织机导板零件数控加工工艺与工装设计.rar_CA6140车床开环纵向系统设计C616型普通车床改造CA6150数控车床主轴箱及传动系统系统的设计XK100数控主轴箱设计XK5040铣床垂直进给机构XY数控工作台1毕业论文 经济型数控车床纵向进给系统设计及进给系统的润滑设计.doc毕业设计 环境专业 某盐化公司生产废水治理工程技术方案板料毕业设计成形CAE可行性分析==模具.doc毕业设计数控类 汽车车灯同步转向装置.doc毕业设计 设计加工客车上 “车门垫板”零件的冲裁模 hao毛EX1000高效二次风选粉机(传动及壳体部件)设计.rar OO:348414338

汽车控制电路设计论文参考文献

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层,第三层,第四层的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

△△△△(条的标题,顶格,占一行)

△△△△(顶格,接正文)

△△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

提供一些关于汽车电子技术应用的参考文献,供参考。[1] 刘艳梅. 电子技术在现代汽车上的发展与应用[J]. 中国科技信息, 2006,(01) . [2] 何玉军. 国内外汽车电子技术应用现状[J]. 电子产品世界, 2000,(05) . [3] 孙汯. 现代信息电子技术在汽车上的应用和发展[J]. 上海汽车, 2001,(10) . [4] 边明远,浙静. 现代汽车电子技术应用的发展趋势[J]. 世界汽车, 2000,(03) . [5] 别辉,过学讯. 现代电子技术在汽车上的全面应用[J]. 北京汽车, 2006,(04) . [6] 危明飞,高伟,包艳,魏辉. 电子技术在现代汽车上的应用及发展趋势[J]. 重型汽车, 2005,(06) . [7] 李磊,商达. 现代汽车上电子技术的应用[J]. 现代电子技术, 2004,(08) . [8] 顾晔. 电子控制技术在汽车上的应用[J]. 汽车研究与开发, 2005,(09) . [9] 仲子平 ,余文明. 现代汽车电子控制技术的应用及发展趋势[J]. 现代机械, 2003,(03) . [10] 马桂英,栾英杰. 现代汽车电子控制技术应用与发展[J]. 浙江交通职业技术学院学报, 2001,(02) .

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

  • 索引序列
  • 汽车后视镜控制设计毕业论文
  • 汽车电动后视镜毕业论文
  • 遥控汽车设计毕业论文
  • 汽车车灯控制毕业论文
  • 汽车控制电路设计论文参考文献
  • 返回顶部