• 回答数

    5

  • 浏览数

    253

食品监督所
首页 > 期刊论文 > 数字集成电路设计论文参考文献

5个回答 默认排序
  • 默认排序
  • 按时间排序

大灌篮2

已采纳

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

128 评论

左左颜色

从师兄那转载的几部宝典:1.拉扎维的《模拟CMOS集成电路设计》,我们研二模电课的教材,汪宁老师把这门课讲得可圈可点。当时没意识到有其他书,于是我就把此书读了好几遍。此书内容多摘自较新的论文,还未得到工业界的实践论证,所以一大特点就是pitfalls较多。但不失为为大家提供很多深入研究主题的sourcing。的《CMOS模拟集成电路设计第二版》,此书工程性很强,适合有一定CMOS模电理论基础的人读。当时由于毕设想做ADC,于是接触了此书。读后感觉Phillip通篇都是为了写ADC而写此书,值得一提的是5、6、7章把OP-AMP写得非常精彩。3.强力推荐的是的《模拟集成电路的分析与设计》,堪称模电之Bible,鄙人最近正钻研此书,惜得宝书有种相见恨晚的感觉,很是上瘾甚至有点欲罢不能。此书是UCBerkeley的EECS系为EE140和EE240专门指定的教材,可以说是汇聚了berkeley的精华,berkeley之精华乃siliconvalley之精华,siliconvalley之精华乃IC之精华。阅读此书(英文版),你一定能体会到Paul这位Godfather思维之严谨、论证之严密,条理之清晰,该书的一大亮点就是把bipolar和CMOS作为counterpart很好地结合在了一起讲,能带给读者一完整的transistor级IC的概念。推荐必读。EE140在Berkeley是由大牛(全哥以前的boss)在教,comic上有他的视频,我坚持上完了他整个一学期的课,感觉收获相当大,似乎感觉自己身体里的血液都是Analog做的,你不可能不喜欢上他。的《模拟电路版图的艺术》,该书连同Paul那本一起作为在Berkeley的EE240的教材,它帮助你从一个电路designer的角度来看工艺,又能从工艺的角度来反哺你设计的circuit,是一致公认的优秀后端教材。的《半导体器件基础》相比于施敏的那本上手来得更容易,相信研一的诸位大多读过此书。Berkeley的EE130是由(TsuJae)来教,有志投身analog或者device的同学最好把energyband,pnjunction,BJT和MOS的基础打牢。顺便提一句,有关"信号与系统"和"控制"方面的知识也是必须的,特别当涉及到高频和稳定性设计时就显得格外必要。6.可能有部分同学打算投身RF,那么推荐的《TheDesignofCMOSRadio-FrequencyIntegratedCircuitsSecondEdition》,绝对权威。此书我还没研究过,就暂且不发表评论了。个人觉得,能够有机会多从不同角度观察不同学者对某一subject的讨论是一件很幸运的事,拜读这些大师们(PaulGray,等等,特别是Berkeley的五人组)的著作能帮助我迅速打开自己的思路,提升对这一学术领域的认识。此外,UCBerkeley作为美国公立大学的典范,代表了那种出身贫寒但却不畏权贵、勇于抗挣、挑战特权和精英(Harvard,Yale,Stanford)的精神,令人钦佩。朝去朝来,日月轮回,对于我们这里的每个人来说,也都不过只是这个学校的匆匆过客,带走的是我们的知识和理想,留下的是我们宝贵的"学脉"。

307 评论

分享奇闻怪事

去google(谷歌)里面随便就找到了。

199 评论

艺术边上观望

1、 用FPGA实现控制基于I2C总线的EEPROM 2、 基于FPGA的简单OEM板GPS接收机设计 3、 基于FPGAD的数字频率计设计 4、 [电气工程]基于FPGA的电网基本电量数字测量系统的设计 5、 [电子信息工程]基于单片机和FPGA的位同步信号提取 6、 基于FPGA的数字通信系统 7、 基于FPGA和锁相环4046实现波形发生器 8、 UC/OSII在FPGA上的移植 9、 基于FPGA的IIR滤波器设计 10、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 11、 基于ARM和FPGA的数控系统的硬件设计(硕士) 12、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 13、 OFDM通信系统基带数据处理部分的FPGA实现 14、 FPGA应用实验板设计 15、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 16、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 17、 基于FPGA温、湿度传感器系统设计 18、 基于FPGA的嵌入式系统开发板 19、 卫星信道延时模拟器的FPGA实现 20、 基于Altera FPGA的发动机ECU原型设计 21、 基于FPGA设计电梯控制系统 22、 FPGA在机卡分离式高清数字一体电视机里的应用 23、 PSK调制算法仿真与FPGA实现 24、 基于FPGA的数字复接系统帧同步器的设计

262 评论

大熊二的小熊大

1、频率计嘛就是用来测试信号频率的啊!2、用FPGA来做就最简单不过了!3、我做了一个频率范围在,一点都不夸张的,而且精度还很高,比你想象的要高的多!4、软件设计就只要QUARTUS II,很好做的也很容易!5、就连报告也都有的!

280 评论

相关问答

  • 集成电路设计核心期刊

    微电子领域高质量杂志:器件方向:1. IEEE electron device letters2. IEEE Trans electron devices3.

    猜我猜不猜 3人参与回答 2023-12-08
  • 数字集成电路设计论文参考文献

    这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定

    食品监督所 5人参与回答 2023-12-06
  • 集成电路芯片测试仪设计论文答辩

    单片机毕业论文答辩陈述 难忘的大学生活将要结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么毕业论文应该怎么写才合适呢?以下

    默默一个人旅行 3人参与回答 2023-12-05
  • 化妆镜电路设计论文参考文献

    在我们写论文的过程中,不仅要阐明自己的观点,而且还要论证自己的观点,这就要求我们进行大量的调查研究,参考以有的文献,但是我们怎么才能找到又全又准确的文献呢,下面

    萌萌哒蜗牛 5人参与回答 2023-12-08
  • 汽车控制电路设计论文参考文献

    汽车毕业论文参考文献 紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面

    大雪压青松丶 3人参与回答 2023-12-06