• 回答数

    3

  • 浏览数

    255

虎宝宝001
首页 > 期刊论文 > 时序电路毕业论文

3个回答 默认排序
  • 默认排序
  • 按时间排序

philips1111

已采纳

(一)摘要摘要是毕业设计(论文)主要信息的简要陈述,具有独立性和完整性。摘要内容包括本论文研究目的、方法、结果、结论四部分。千万不要讲一些人们已经做过的工作。要开门见山地讲本文的主要工作。摘要分中文摘要和外文摘要,中文摘要在前,一般300字左右,外文摘要另起一页,内容应与中文摘要对应。(二)正文毕业论文正文部分包括前言、主体和结论。前言作为开场白,应以简短的篇幅,说明毕业论文选题的目的和意义、国内外文献综述、研究的内容及预期目标,要求突出重点,实事求是。主体是毕业论文的核心部分,占主要篇幅。毕业论文正文字数一般要求在15000字以上。有创新的论文,字数不受限制。文中插入的图表要符合国家标准,经过精心设计后用计算机绘制,尽量避免扫描图表。结论是整个毕业论文的最后总结,完整、准确、简洁地指出以下内容:(1)毕业论文得到的结果所揭示的原理及其普遍规律;(2)研究中有无发现例外或本文尚难以解释和解决的问题;(3)与同类研究工作的异同;(4)进一步深入研究本课题的建议。(三)参考文献毕业设计(论文)要求有10篇以上的中外文参考文献,其中至少有一篇与设计(论文)内容相关的外文文献。毕业设计(论文)引用的文献应以近期发表的与毕业设计(论文)直接有关的文献为主。凡引用本人或他人已公开或未公开发表文献中的学术思想、观点或研究方法、设计方案等,不论借鉴、评论、综述,还是用做立论依据,都应编入参考文献目录。各条文献按在论文中的文献引用序号顺序排列。

101 评论

托尼小吃货

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

252 评论

popo小婷婷

目 录 中英文摘要,关键词………….....1 一,中英文摘要,关键词 前言……………………………….2 二,前言 原理框图………………………….3 三,原理框图 毕业设计主要结构 结构……………….3 四,毕业设计主要结构 4.1,时基信号 . ,时基信号…………………….3 4.2,分频器件 CD4040………….10 . , 4.3,可逆计数器 CD40110……...15 . , 4.4,置数开关 . ,置数开关……………………20 4.5,控制门 . ,控制门………………………20 4.6,执行电路 . ,执行电路……………………21 毕业设计详细电路图 五,毕业设计详细电路图……………23 详细原理解释……………………24 六,详细原理解释 焊接与调试………………………25 七,焊接与调试 结论………………………………26 八,结论 参考文献…………………………27 九,参考文献 中英文关键字,摘要: 一, 中英文关键字,摘要: 逆计时数显定时器的设计 [摘要] 本文介绍了一种电子定时器.定时时间用数字显示.并进行逆计数.当 摘要] 计数器显示为 “ 0 ” 时.控制器会自动切断或打开用电器的电源, 定时时间 范围 1~ 990分钟。该定时器可替代目前一些家用电器中的机械定时器。 倒计时时定时器的用途很广泛,它可以用作定时器,控制被定时的电器,实现 定时开或者定时关,在定时的过程中,随时显示剩余时间, 它还可以用作倒计 时计数器。由三位数码管直观显示倒计时计数状态。 关键词: 关键词:电子定时器,数字显示,倒计时作者:高剑夫 指导老师:朱永乐 [Abstract] [Abstract] This article introduced one kind of electronic timer. Fixed the time is demonstrated with the digit. And carried on ploting the number. When the counter is demonstrated “0”, The controller automatic turnoff or opens with power source of electric appliance,the range of fixed time is 1~ 990 timer may substitute some mechanical timer of domestic electric appliances in the present. The use of the count down timer is very widespread, it can be used as the timer,which controls the electric appliance what be fixed time, realizes fixed time opened or closed, in the process of fixed time, momentarily demonstrates the surplus time, it can be also used as the countdown counter. Display the condition of the countdown immediately by three nixietube. Key word: electronic timer ,digit demonstration,countdown Written by Jianfu Gao Supervised by Yongle Zhu 二, 前言计数器是最常用的时序电路之一,他们不仅可用于对脉冲进行计数,还可以用 于分频,定时,产生节拍脉冲以及其他时序信号。计数器的种类不胜枚举,按照 编码分类可以分成:二进制码计数器,BCD(二——十进制)计数器,循环码计 数器。文章中涉及到的计数器是十进制的。 可逆计数器又称作加/减计数器。是计数器的一个重要组成部分,除了一些专 用的大规模可逆计数器具有符号框功能, 中规模可逆计数器一般是不具备极性符 号功能的。 因此用无符号的中小规模可逆计数器设计带符号的可逆计数器显得尤 为必要.一般完成这一转换需增加三个单元电路。①符号寄存器和符号显示驱动 器 用于寄存和驱动计数状态的极性符号。②清零电路,在计数器的计数状态+0 和 -0 相互转换时,它使计数器清零。③控制门电路。在可逆计数器的极性符号 控制下, 它使正计数状态时的增加时钟脉冲和城小时钟脉冲分别变成负计数状态 时的减小时钟脉冲和增加时钟脉冲。 我们这篇论文写的逆计时数显定时器是在以电子技术(数字部分)该教材基础 上写成的。它主要由:时基信号,分频器,可逆计数器,控制门,置数开关,执 行电路组成。这种电子定时器定时时间用数字显示,并进行逆计数。当计数器显 示为 “ 0 ” 时.控制器会自动切断或打开用电器的电源, 定时时间范围 1~ 990 分钟,LED 数码管显示运行过程。为了更好的理解该电路的设计原理,文章 中详细解释了构成该电子定时器的每个构成部分。 这种采用常规 CMOS 数字集成电路的定时器,电路原理简单,使用方便,适 用于家用电器及工业设备的定时控制,故值得电子爱好者业余制作。 三,原理框图: 原理框图: 数码管 可逆计 数器 控制门 执行电路 置数 开关 分频器 被控电路 时基信号 四,毕业设计主要结构 4.1 时基信号 . 图中 VD1、Rl~R3、D1、D2 等组成时基信号产生电路。 VD1 以及下文中的 VD2,VD9,VD16 都是 1N4001 1N4001 的特征有: 低的反向漏电流 较强的正向浪涌承受能力 高温焊接保证 引线可承受 5 磅 () 拉力 它的极限值和温度特性 TA = 25℃ 符号 最 大 可 重 复 峰 值 VRRM 反向电压 最大均方根电压 VRMS 最 大 直 流 阻 断 电 VDC 压 最 大 正 向 平 均 整 IF(AV) 流电流 峰 值 正 向 浪 涌 电 IFSM 流 单一 正 弦半波 最 大 反 向 峰 值 电 IR(AV) 流 典型热阻 RθJA 工 作 结 温 和 存 储 Tj, TSTG 温度 电特性 TA = 25℃ 符号 最大正向电压 IF = VF 最大反向电流 IR TA= 25℃ TA=100℃ 见下表 50 35 50 30 单位 V V V A A 30 65 -50 --- +150 ?A ℃/W ℃ 单位 V ?A 100 典型结电容 VR = Cj 15 pF , f = 1MHz 时基信号取交流电的 50HZ 信号。电源变压器次级输出的交流电压经 VD1 半 波整流后在 R1 上产生 50HZ 的脉冲直流电。 图中 D1,D2 是 CD4069------六反相器 CD4069 提供了14 引线多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、塑 料双列直插(P)和陶瓷片状载体(C)4 种封装形式。 推荐工作条件: 电源电压范围…………3V~15V 输入电压范围…………0V~VDD 工作温度范围 M类…………-55℃~125℃ E 类………….-40℃~85℃ 极限值: 电源电压…...-~18V 输入电压……- 输入电流…………….±10mA 储存温度…………-65℃~150℃ 引出端符号: 1A~6A 数据输入端 VCC 正电源 Vss 地 1Y~6Y 数据输入端 逻辑符号: 引出端排列(俯视) 逻辑表达式: Y= A 逻辑图: 由点 1 输出的脉冲信号经 D1,D2 等组成的施密特触发器整形后在点 2 输出 50HZ 的矩形脉冲信号,供分频器作时钟信号。 施密特触发器特点 施密特触发器与其说是“触发器”,不如说是具有滞后特性的数字传输门, 其特点有二: 1.输入电平的阈值电压由低到高为 ,由高到低为 ,且 > , 为负 输出的变化滞后于输入,形成回环。我们将称 向阈值电压,二者的差值称为回差。 为正向阈值电压, 称 2.与双稳态触发器和单稳态触发器不同,施密特触发器属于“电平触发”型 电路,不依赖于边沿陡峭的脉冲。 下图是施密特发器的电压传输特性,图 (a) 是反相传输特性,图 (b) 是同相传 输特性。 二、由反相器构成的施密特触发器 1.反相器构成的施密特触发器的电路结构 将两级反相器串接起来,同时通过分压电阻把输出端的电压反馈到输入端,就构 成了图所示的施密特触发器。 (a)电路 (b) 图形符号 2.反相器构成的施密特触发器的工作原理 G1,G2 为 CD4069 反相器,门电路的阈值电压为:VTH=1/2VDD,且 R1

243 评论

相关问答

  • 时间序列毕业论文

    五年以内。最好是五年以内的研究的期刊或者论文,因为这是这个领域里面最新的资讯,作为你论文的佐证是最好的。实在没有办法的话用10年以内的也是可以的,当然了,如果有

    豆瓣酱7 3人参与回答 2023-12-11
  • 蔡氏电路毕业论文

    线性电路是指完全由线性元件、独立源或线性受控源构成的电路。线性就是指输入和输出之间关系可以用线性函数表示。非线性电路,含有非线性元件的电路。这里的非线性元件不包

    爆米花糖糖 3人参与回答 2023-12-07
  • 直流电路毕业论文

    数字电路毕业设计 ·多路智能报警器设计·电子密码锁设计·路灯的节能控制·±5V直流稳压电源的设计·病房呼叫系统·四路数字抢答器设计·全集成电路高保真扩音机·电容

    好人旦旭 3人参与回答 2023-12-10
  • 电路的毕业论文

    在任何开关电源设计中,PCB板的物理设计都是最后一个环节,如果设计方法不当,PCB可能会辐射过多的电磁干扰,造成电源工作不稳定,以下针对各个步骤中所需注意的事项

    帅帅老牛 2人参与回答 2023-12-07
  • 电子电路基础毕业论文

    应用电子的论文是什么题目的呀。什么时候需要。

    鼹鼠大小姐 8人参与回答 2023-12-06