• 回答数

    5

  • 浏览数

    208

我的dp我做主
首页 > 毕业论文 > 嵌入式fpga硕士毕业论文

5个回答 默认排序
  • 默认排序
  • 按时间排序

kele870401

已采纳

第一,结合你老板搞的方向。比如做模糊控制,算法改进等,能通过FPGA实现就最好。第二,做一个NIOS2的嵌入式平台。你到CNKI的优秀硕士论文上搜索SOPC,FPGA等关键词,可以找到很多这方面的东西。看看别人是怎么写的,就有想法了。做一个NIOS平台,然后跑跑UCOS操作系统,最后做出硬件来,一般就能拿优了。硕士论文要有点深度。我也在研究这个,我毕业也准备写篇这方面的论文。祝你好运,共同学习!几个比较好的论坛介绍你,一个是“研学论坛”,一个是“EDACN”你在百度上找这两个关键字都能找到。我的空间里也有相关的资料,兴许对你有所帮助:)

94 评论

卢卡与凯丽

1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去

100 评论

足球大大

写FPGA的实时信号处理方向吧,这是比较新的方向,也是近年来比较热的东西;就研究生论文而言,既有理论研究又有实际工程价值

241 评论

诗诗雨天

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

112 评论

翻滚的石榴

有关IP核的硬化 也可以考虑一下

100 评论

相关问答

  • 嵌入式相关的毕业论文

    论文怎么选?按照你选的毕业设计来做。我的毕业设计已经做完了

    雪蓝的枫叶 5人参与回答 2023-12-07
  • 嵌入式怎么写毕业论文

    基于嵌入式系统的设计,这个题目定好方向没有能完善给您的,吧任务书让看下的

    壹贰叁肆4321 4人参与回答 2023-12-12
  • 嵌入式应用毕业论文

    第1章 硬件电路分析第1.1节 硬件电路概述该测温系统由五部分组成:电源模块、侦测模块、显示模块、控制模块、通讯模块。电源模块完成将200V,50Hz市电转换

    小豆他妈妈 7人参与回答 2023-12-10
  • 嵌入式毕业论文框架

    嵌入式技术论文篇二 嵌入式系统应用技术 【摘 要】本文介绍了嵌入式系统的含义、发展、现状和我国在嵌入式系统中面临的机遇,重点介绍了嵌入式

    kiko小毒 4人参与回答 2023-12-07
  • 嵌入式毕业论文多少字

    毕业论文的规格,也就是毕业论文的标准。这里讲毕业论文的规格或标准,主要是就毕业论文的质量方面而言的。至于一篇毕业论文究竟要多少字数,不同的学校有不同的规定。一般

    whiskey456 5人参与回答 2023-12-08